Skip to main content

Advertisement

Log in

Energy-efficient tasks scheduling algorithm for real-time multiprocessor embedded systems

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

In recent years, applications like multimedia, video and audio stream communications, 3D movies, to name a few, have spurred the proliferation of multiprocessor systems, particularly for real-time embedded systems. However, the complex architecture and heavy computing demands of such systems increase power consumption. Therefore, energy conservation has become a critical issue. In this paper, we propose a novel tasks scheduling algorithm for real-time multiprocessor systems. The algorithm works by reducing the workload in high speed processors with the aid of task migration so that the entire system can switch to low speed/low voltage as soon as it can reduce power consumption. The overhead of transitioning to low voltage is also analyzed and used as a criterion to determine whether the transition is beneficial. The effect of important parameters such as task granularity on the performance is also investigated, and simulation results based on realistic processor power consumption models are shown to be promising.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15

Similar content being viewed by others

References

  1. Kim H, Hong H, Kim HS, Ahn JH, Kang S (2008) Total energy minimization of real-time tasks in an on-chip multiprocessor using dynamic voltage scaling efficiency metric. IEEE Trans Comput-Aided Des Integr Circuits Syst 27(11):2088–2092

    Article  Google Scholar 

  2. Dorsey J, Searles S, Ciraula M, Johnson S, Bujanos N, Wu D, Braganza M, Meyers S, Fang E, Kumar R (2007) An integrated quad-core opteron processor. In: Proc of intl solid state circuits conference, Sept 2007, pp 102–103

    Google Scholar 

  3. Benini L, Hodgson R, Siegel P (1998) System-level power estimation and optimization. In: Proc of IEEE intl symposium on low power electronics and design, Aug 1998, pp 173–178

    Google Scholar 

  4. Cottet F, Delacroix J, Kaiser C, Mammeri Z (2002) Scheduling in real-time systems. Wiley, New York

    Book  Google Scholar 

  5. Mudge T (2001) Power: A fist class architectural design constraint. IEEE Comput 34(4):52–58

    Article  Google Scholar 

  6. Tiwari V, Malik S, Wolfe A (1994) Compilation techniques for low energy: an overview. In: Proc IEEE symposium on low power electronics, digest of technical papers, Oct 1994, pp 38–39

    Google Scholar 

  7. Valluri M, John LK (2001) Is compiling for performance = compiling for power? In: Lee G, Yew PC (eds) Interaction between compilers and computer architectures. Kluwer Academic, Norwell, pp 101–105. Chap 6

    Google Scholar 

  8. Chakrapani LN, Korkmaz P, Mooney VJ III, Wong WF (2001) The emerging power crisis in embedded processors: what can a poor compiler do? In: Proc of intl conference on compilers, architecture, and synthesis for embedded systems. ACM, New York, pp 176–180

    Chapter  Google Scholar 

  9. Zhang Y, Qian L, Lu Q, Qian P, Zhao L (2009) A dynamic frequency scaling solution to DPM in embedded Linux systems. In: Proc of IEEE intl conference on information reuse and integration, Aug 2009, pp 256–261

    Chapter  Google Scholar 

  10. Agarwal A, Rajput S, Pandya AS (2006) Power management system for embedded RTOS: an objectoriented approach. In: Proc. of electrical and computer engineering, CCECE ’06. Canadian conference, May 2006, pp 2305–2309

    Google Scholar 

  11. Jiang X, Polastre J, Culler DE (2005) Perpetual environmentally powered sensor networks. In: Proc of intl symposium on information processing in sensor networks, June 2005, pp 463–468

    Google Scholar 

  12. Roundy S, Steingart D, Frechette L, Wright P, Rabaey J (2004) Power sources for wireless sensor networks. In: Proc of European workshop on wireless sensor networks, Jan 2004, pp 1–18

    Chapter  Google Scholar 

  13. Raghunathan V, Kansal A, Hsu J, Friedman J, Srivastava M (2005) Design considerations for solar energy harvesting wireless embedded systems. In: Proc of intl symposium on information processing in sensor networks, June 2005, pp 457–462

    Google Scholar 

  14. Burd TD, Brodersen RW (2000) Design issues for dynamic voltage scaling. In: Proc of low power electronics and design, pp 9–14

    Google Scholar 

  15. Almida GM, Varyani S, Busseuil R, Sassaelli G, Benoit P, Torres L (2010) Evaluation the impact of task migration in multiprocessor systems-on-chip. In: Proc of the 23rd symposium on integrated circuits and system design, pp 73–78

    Chapter  Google Scholar 

  16. Cormen TH, Leiserson CE, Rivest RL, Stein C (2009) Introduction to algorithms, 3rd edn. MIT Press, Cambridge, Chap 34

    MATH  Google Scholar 

  17. Qu G (2007) Power management of multicore multiple voltage embedded systems by task scheduling. In: Proc of intl conference on parallel processing workshops

    Google Scholar 

  18. Liu CL, Layland JW (1973) Scheduling algorithms for multiprogramming in a hard real-time environment. J ACM 20(1):46–61

    Article  MathSciNet  MATH  Google Scholar 

  19. Yao F, Demers A, Shenker S (1995) A scheduling model for reduced CPU energy. In: Proc of IEEE annual symposium on foundations of computer science, Milwaukee, USA, Oct 23–25, 1995, pp 374–382

    Google Scholar 

  20. Anderson JH, Baruah SK (2004) Energy-efficient synthesis of periodic task systems upon identical multiprocessor platforms. In: Proc of IEEE intl conference on distributed computing systems, pp 428–435

    Google Scholar 

  21. Hung CM, Chen JJ, Kuo TW (2006) Energy-efficient real-time task scheduling for a DVS system with a non-DVS processing element. In: Proc of IEEE RTSS, pp 303–312

    Google Scholar 

  22. Aydin H, Devadas V, Zhu D (2006) System-level energy management for periodic real-time tasks. In: Proc of IEEE real-time systems symposium, pp 313–322

    Google Scholar 

  23. Pillai P, Shin KG (2001) Real-time dynamic voltage scaling for low-power embedded operation systems. In: Proc of the 18th ACM symposium on operating systems principles, Oct 2001

    Google Scholar 

  24. Lin MH, Wang K (2008) Energy efficient workload-aware DVS scheduling for multi-core real-time embedded systems. Master thesis, Institute of Network Engineering, National Chiao Tung University, Taiwan, ROC

  25. Zeng G, Yokoyama T, Tomiyama H, Takada H (2009) Practical energy-aware scheduling for real-time multiprocessor systems. In: Proc of the 15th IEEE intl conference on embedded and real-time computing system and applications, pp 383–392

    Chapter  Google Scholar 

  26. Intel XScale Microarchitecture: Benchmarks (2005) http://web.archive.org/web/20050326232506/developer.intel.com/design/intelxscale/benchmarks.htm (Last accessed Nov 7, 2011)

  27. Rusu C, Xu R, Melhem R, Mosse D (2004) Energy-efficient policies for request-driven soft real-time systems. In: Proc of EuroMicro conference on real-time systems, July 2004, pp 175–183

    Chapter  Google Scholar 

  28. Xu R, Xi C, Melhem R, Mosse D (2004) Practical PACE for embedded systems. In: Proc of intl conference on embedded software (EMSOFT), pp 54–63

    Chapter  Google Scholar 

  29. Lehoczky J, Thuel S (1994) Algorithms for scheduling hard aperiodic tasks in fixed-priority systems using slack stealing. In: Proc of IEEE real-time systems symposium

    Google Scholar 

  30. Acquaviva A, Alimonda A, Carta S, Pittau M (2008) Assessing task migration impact on embedded soft real-time streaming multimedia applications. EURASIP J Embed Syst. doi:10.1155/2008/518904

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohammad S. Obaidat.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wang, HC., Woungang, I., Yao, CW. et al. Energy-efficient tasks scheduling algorithm for real-time multiprocessor embedded systems. J Supercomput 62, 967–988 (2012). https://doi.org/10.1007/s11227-012-0771-0

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-012-0771-0

Keywords

Navigation