Skip to main content

Advertisement

Log in

A novel distributed congestion control for bufferless network-on-chip

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Bufferless Network-on-Chip (NoC) emerges as an interesting option for NoC design in recent years, which can save considerable router power and area. However, bufferless NoC only works well under low-to-medium load because it becomes more easily congested as message injection rate increases. In this paper, we propose a novel distributed source-throttling congestion control mechanism that relieves the effect of congestion in bufferless NoC under high load, called Cbufferless. The proposed strategy uses a novel congestion detection and control mechanism, computing average deflection rate of routing flit and distributed throttling message injection. Utilizing the new mechanism, the congestion information can be directly obtained inside node, which allows the mechanism to be fully distributed without requiring any transmission of global congestion information among neighbor routers and within a router. Simulation results show that the proposed mechanism improves system throughput by up to \(\sim \)30 and \(\sim \)15.5 %, saves energy consumption by up to \(\sim \)40 and \(\sim \)19 % than that of baseline and injection rate throttling bufferless NoCs, respectively, and keeps lower message latency under congested load when compared.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

We’re sorry, something doesn't seem to be working properly.

Please try refreshing the page. If that doesn't work, please contact support so we can address the problem.

Notes

  1. pri \(_{i}\) is the priority of packet \(i\).

References

  1. Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of design automation conference, pp 684–689

  2. Ho R, Mai KW, Horowitz MA (2001) The future of wires. Proc IEEE 89(4):490–504

    Article  Google Scholar 

  3. Kim J, Balfour J, Dally WJ (2007) Flattened butterfly topology for on-chip networks. In: Proceedings of the 40th annual IEEE/ACM international symposium on microarchitecture, pp 172–182

  4. Kao YH, Alfaraj N, Yang M et al (2010) Design of high-radix clos network-on-chip. In: 2010 fourth ACM/IEEE international symposium on networks-on-chip, pp 181–188

  5. Jing L, Xiaola L (2012) Power and latency efficient mechanism: a seamless bridge between buffered and bufferless routing in on-chip network. J Supercomput 61(3):1048–1067

    Article  Google Scholar 

  6. Hoskote Y, Vangal S, Singh A et al (2007) A 5-ghz mesh interconnect for a teraflops processor. IEEE J MICRO 27(5):51–61

    Article  Google Scholar 

  7. Taylor MB, Lee W, Miller J et al (2004) Evaluation of the raw microprocessor: an exposed-wire-delay architecture for ILP and atreams. In: Proceedings of international symposium on computer architecture, pp 2–13

  8. Gratz P, Kim C, McDonald R et al (2006) Implementation and evaluation of on-chip network architectures. In: International conference on computer design, pp 477–484

  9. Vangal SR, Howard J et al (2008) An 80-tile sub-100-w teraflops processor in 65-nm CMOS. IEEE J Solid State Circuits 43:29–41

    Article  Google Scholar 

  10. Moscibroda T, Mutlu O (2009) A case for bufferless routing in on-chip networks. In: Proceedings of international symposium on computer architecture, pp 196–207

  11. Fallin C, Craik C, Mutlu O (2011) Chipper: a low-complexity bufferless deflection router. In: Proceedings of international symposium on high performance computer architecture, pp 144–155

  12. Baran P (1964) On distributed communications networks. IEEE J Commun Syst 12(1):1–9

    Article  Google Scholar 

  13. Nychis GP, Fallin C, Moscibroda T et al (2012) On-chip networks from a networking perspective: congestion and scalability in many-core interconnects. ACM SIGCOMM Comput Commun Rev 42(4):407–418

    Article  Google Scholar 

  14. Hennessy JL, Patterson DA (2012) Computer architecture: a quantitative approach. Elsevier, Amsterdam

  15. Yan J, Lin X, Lai G (2013) Cbufferless: a novel congestion control for bufferless networks on-chip. In: 2nd international conference on advances in computer science and engineering, pp 153–156

  16. Guan Y, Adi CAD, Miyoshi T et al (2012) Throttling control for bufferless routing in on-chip networks. In: IEEE 6th international symposium on embedded multicore SoCs (MCSoC), 2012 IEEE, pp 37–44

  17. Hu W-H, Lee SE, Bagherzadeh N (2008) DMesh: a diagonally-linked mesh network-on-chip architecture. In: First international workshop on network on chip architectures workshop

  18. Palesi M, Patti D, Fazzino F (2010) Noxim-the noc simulator. Online, http://noxim.Sourceforge.net

  19. Ye TT, Benini L, De Micheli G (2002) Analysis of power consumption on switch fabrics in network routers. In: Design automation conference proceedings, pp 524–529

  20. Feng W, Shin KG (1997) Impact of selection functions on routing algorithm performance in multicomputer networks. In: Proceedings of the 11th international conference on supercomputing, pp 132–139

  21. Ogras UY, Marculescu R (2006) Prediction-based flow control for network on-chip traffic. In: Proceedings of the 43rd annual design automation conference, pp 839–844

  22. Jiang N, Becker DU, Miche logiannakis G, Dally WJ (2012) Network congestion avoidance through speculative reservation. In: Proceedings of international symposium on high performance computer architecture, pp 1–12

  23. Gratz P, Grot B, Keckler SW (2008) Regional congestion awareness for load balance in networks-on-chip. In: Proceedings of international symposium on high performance computer architecture, pp 203–214

  24. Hu J, Marculescu R (2004) Dyad: smart routing for networks-on-chip. In: Proceedings of the 41st annual design automation conference, pp 260–263

  25. Baydal E, Lopez P, Duato J (2005) A family of mechanisms for congestion control in wormhole networks. IEEE J Parallel Distrib Syst 16(9):772–784

    Article  Google Scholar 

  26. van den Brand JW, Ciordas C, Goossens K, Basten T (2007) Congestion-controlled best-effort communication for networks-on-chip. In: design, automation and test in Europe conference and exhibition, pp 1–6

  27. Thottethodi M, Lebeck AR, Mukherjee SS (2001) Self-tuned congestion control for multiprocessor networks. In: Proceedings of international symposium on high performance computer architecture, pp 107–118

  28. Tedesco LP, Rosa T, Clermidy F, Calazans N et al (2010) Implementation and evaluation of a congestion aware routing algorithm for networks-on-chip. In: Proceedings of the 23rd symposium on integrated circuits and system design, pp 91–96

  29. Nilsson E, Millberg M, Oberg J, Jantsch A (2003) Load distribution with the proximity congestion awareness in a network on chip. In: Design, automation and test in Europe conference and exhibition, pp 1126–1127

  30. Johnson KL (1992) The impact of communication locality on large-scale multiprocessor performance. In: Proceedings of international symposium on computer architecture, pp 392–402

Download references

Acknowledgments

The authors would like to thank the anonymous reviewers for their valuable comments and suggestions that helped us to improve the quality of this paper. This work is partly supported by Natural Science Foundation of Guangdong Province, China, under Grant No.10152104101000004 and National Science Council under Grant Nos. NSFC 60773199, U0735001, NSFC61073055,NSFC 4103470 and 985-III fund.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Xiaola Lin.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Yan, J., Lai, G. & Lin, X. A novel distributed congestion control for bufferless network-on-chip. J Supercomput 68, 849–866 (2014). https://doi.org/10.1007/s11227-013-1069-6

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-013-1069-6

Keywords

Navigation