Skip to main content
Log in

Parallel reconfiguration algorithms for mesh-connected processor arrays

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Effective fault tolerance techniques are essential for improving the reliability of multiprocessor systems. At the same time, fault tolerance must be achieved at high speed to meet the real-time constraints of embedded systems. While parallelism has often been exploited to increase performance, to the best of our knowledge, there has been no previously reported work on parallel reconfiguration of mesh-connected processor arrays with faults. This paper presents two parallel algorithms to accelerate reconfiguration of the processor arrays. The first algorithm reconfigures a host array in parallel in a multithreading manner. The threads in the parallel algorithm execute independently within a safe rerouting distance. The second algorithm is based on a divide-and-conquer approach to first generate the leftmost segments in parallel and then merge the segments in parallel. When compared to the conventional algorithm, simulation results from a large number of instances confirm that the proposed algorithms significantly accelerate the reconfiguration without loss of harvest.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

References

  1. Vangal SR, Howard J, Ruhl G, Dighe S, Wilson H, Tschanz J, Finan D, Singh A, Jacob T, Jain S, Erraguntla V, Roberts C, Hoskote Y, Borkar N, Borkar S (2008) An 80-tile sub-100-w teraflops processor in 65-nm cmos. IEEE J Solid-State Circuits 43(1):29–41

    Article  Google Scholar 

  2. Bell S, Edwards B, Amann J, Conlin R, Joyce K, Leung V, MacKay J, Reif M, Bao L, Brown J, Mattina M, Miao C, Ramey C, Wentzlaff D, Anderson W, Berger E, Fairbanks N, Khan D, Montenegro F, Stickney J, Zook J (2008) TILE64-Processor: A 64-Core SoC with mesh interconnect. In: Digest of technical papers, IEEE international solid-state circuits conference (ISSCC), pp 99, 598

  3. Antusiak J, Trouv A, Murakami K (2012) A comparison of DAG and mesh topologies for coarse-grain reconfigurable array. In: Proceedings of the 2012 IEEE 26th international parallel and distributed processing symposium workshops and PhD Forum (IPDPSW 2012), Shanghai, China, pp 220–226

  4. Pepeljugoski P, Kash J, Doany F, Kuchta D, Schares L, Schow C, Taubenblatt M, Offrein B, Benner A (2010) Towards exaflop servers and supercomputers: the roadmap for lower power and higher density optical interconnects. In: Proceedings of the 2010 36th European conference and exhibition on optical communication (ECOC), pp 1–14

  5. Kamil S, Oliker L, Pinar A, Shalf J (2009) Communication requirements and interconnect optimization for high-end scientific applications. IEEE Trans Parallel Distrib Systems (TPDS) 21(2):188–202

    Article  Google Scholar 

  6. Ajwani D, Ali S, Morrison JP (2012) Graph partitioning for reconfigurable topology. 2012 IEEE 26th international parallel and distributed processing symposium (IPDPS). Shanghai, China, pp 836–847

  7. Schares L, Zhang XJ, Wagle R, Rajan D, Selo P, Chang S-P, Giles JR, Hildrum K, Kuchta DM, Wolf JL, Schenfeld E (2009) A reconfigurable interconnect fabric with optical circuit switch and software optimizer for stream computing systems. In: Proceedings of the 2009 conference on optical fiber communication (OFC), pp 1–3

  8. Stensgaard MB, Spars J (2008) ReNoC: a network-on-chip architecture with reconfigurable topology. In: Proceedings of the second ACM/IEEE international symposium on networks-on-chip(NoCS 2008), Newcastle upon Tyne, England, pp 55–64

  9. Collet JH, Zajac P, Psarakis M, Gizopoulos D (2011) Chip self-organization and fault tolerance in massively defective multicore arrays. IEEE Trans Dependable Secur Comput 8(2):207–217

    Article  Google Scholar 

  10. Liu Z, Cai J, Du M, Yao L, Li Z (2010) Hybrid communication reconfigurable network on chip for MPSoC. In: Proceedings of the 2010 24th IEEE international conference on advanced information networking and applications (AINA), Perth, Australia, pp 356–361

  11. Collet JH, Psarakis M, Zajac P, Gizopoulos D, Napieralski A (2009) Comparison of fault-tolerance techniques for massively defective fine- and coarse-grained nanochips. In: Proceedings of the 16th international conference on mixed design of integrated circuits and systems, (MIXDES 2009), Lodz, Poland, pp 23–30

  12. Avakian A, Nafziger J, Panda A, Vemuri R (2010) A reconfigurable architecture for multicore systems. In: Proceedings of the 2010 24th IEEE international symposium on parallel and distributed processing, workshops and Phd forum (IPDPSW 2010), Atlanta, GA, USA, pp 1–8

  13. Modarressi M, Sarbazi-Azad H, Tavakkol A (2010) An efficient dynamically reconfigurable on-chip network architecture. In: Proceedings of the 47th design automation conference (DAC’10), pp 310–313

  14. Chen YY, Upadhyaya SJ, Cheng CH (1997) A comprehensive reconfiguration scheme for fault-tolerant VLSI/WSI array processors. IEEE Trans Comput 46(12):1363–1371

    Article  Google Scholar 

  15. Horita T, Takanami I (2000) Fault-tolerant processor arrays based on the 1.5-track switches with flexible spare distributions. IEEE Trans Comput 49(6):542–552

    Article  Google Scholar 

  16. Zhang L (2002) Fault-tolerant meshes with small degree. IEEE Trans Comput 51(5):553–560

    Article  MathSciNet  Google Scholar 

  17. Fukushi M, Horiguchi S (2004) A Self-reconfigurable hardware architecture for mesh arrays using single/double vertical track switches. IEEE Trans Instrum Meas 53(2):357–367

    Article  Google Scholar 

  18. Kuo SY, Chen IY (1992) Efficient reconfiguration algorithms for degradable VLSI/WSI arrays. IEEE Trans Comput-Aided Design 11(10):1289–1300

    Article  Google Scholar 

  19. Low CP, Leong HW (1997) On the reconfiguration of degradable VLSI/WSI arrays. IEEE Trans Comput-Aided Design Integr Circuits Systems 16(10):1213–1221

    Article  Google Scholar 

  20. Low CP (2000) An efficient reconfiguration algorithm for degradable VLSI/WSI arrays. IEEE Trans Comput 49(6):553–559

    Article  Google Scholar 

  21. Wu J, Srikanthan T (2006) Reconfiguration algorithms for power efficient VLSI subarrays with 4-port switches. IEEE Trans Comput 55(3):243–253

    Article  Google Scholar 

  22. Wu J, Srikanthan T (2007) Integrated row and column re-routing for reconfiguration of VLSI arrays with 4-port switches. IEEE Trans Comput 56(10):1387–1400

    Article  MathSciNet  Google Scholar 

  23. Fukushi M, Fukushima Y, Horiguchi S (2005) A genetic approach for the reconfiguration of degradable processor arrays. In: Proceedings of the 20th IEEE international symposium on defect fault tolerance VLSI, system, pp 63–71

  24. Wu J, Srikanthan T, Han X (2010) Preprocessing and partial rerouting techniques for accelerating reconfiguration of degradable VLSI arrays. IEEE Trans Very Large Scale Integr (VLSI) Systems 18(2):315–319

    Article  Google Scholar 

  25. Jiang G, Wu J, Sun J (2012) Non-backtracking reconfiguration algorithm for three-dimensional VLSI Arrays. In: Proceedings of the 2012 IEEE 18th international conference on parallel and distributed systems (ICPADS), Singapore, pp 362–367

  26. Jiang G, Wu J, Sun J (2013) Efficient reconfiguration algorithms for communication-aware three-dimensional processor arrays. Parallel Comput. doi: 10.1016/j.parco.2013.04.005

  27. Zhang L, Han Y, Xu Q, Li X, Li H (2009) On topology reconfiguration for defect-tolerant NoC-based homogeneous manycore systems. IEEE Trans Very Large Scale Integr (VLSI) Systems 17(9):1173–1186

    Article  Google Scholar 

  28. Mahapatra NR, Dutt S (2001) Hardware-efficient and highly reconfigurable 4- and 2-track fault-tolerant designs for mesh-connected arrays. J Parallel Distrib Comput 61(10):1391–1411

    Article  MATH  Google Scholar 

  29. Takanami I (2004) Self-reconfiguring of 1.5-track-switch mesh arrays with spares on one row and one column by simple built-in circuit. IEICE Trans Inf Systems E87–D(10):2318–2328

    Google Scholar 

  30. Wu J, Srikanthan T, Heiko S (2005) Efficient reconfigurable techniques for VLSI arrays with 6-port switches. IEEE Trans Very Large Scale Integr (VLSI) Systems 13(8):976–979

    Article  Google Scholar 

  31. Parvathala P, Maneparambil K, Lindsay W (2002) FRITS—a microprocessor functional BIST method. In: Proceedings of the IEEE international test conference, pp 590–598

  32. Chen L, Ravi S, Raghunathan A, Dey S (2003) A scalable software-based self-test methodology for programmable processors. In: Proceedings of the IEEE/ACM design automation conference (DAC 2003), pp 548–553

  33. Paschalis A, Gizopoulos D (2005) Effective software-based self-test strategies for on-line periodic testing of embedded processors. IEEE Trans Comput-Aided Design Integr Circuits Systems 24(1):88–99

    Article  Google Scholar 

  34. Corno F, Sanchez E, Sonza Reorda M, Squillero G (2004) Automatic test program generation—a case study. IEEE Design Test Comput 21(2):102–109

    Article  Google Scholar 

  35. Hatzimihail M, Psarakis M, Gizopoulos D, Paschalis A (2007) A methodology for detecting performance faults in microprocessor speculative execution units via hardware performance monitoring. In: Proceedings of the IEEE international test conference, paper 29.3

  36. Gizopoulos D, Psarakis M, Hatzimihail M, Maniatakos M, Paschalis A, Raghunathan A, Ravi S (2008) Systematic software-based self-test for pipelined processors. IEEE Trans Very Large Scale Integr (VLSI) Systems 16(11):1441–1453

    Article  Google Scholar 

Download references

Acknowledgments

This work was supported by the National Natural Science Foundation of China under Grant No. 61173032 and No. 61070136, and Specialized Research Fund for the Doctoral Program of Higher Education under Grant No. 20131201110002.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jigang Wu.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wu, J., Jiang, G., Shen, Y. et al. Parallel reconfiguration algorithms for mesh-connected processor arrays. J Supercomput 69, 610–628 (2014). https://doi.org/10.1007/s11227-014-1096-y

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-014-1096-y

Keywords

Navigation