Skip to main content

Advertisement

Log in

Maximizing energy saving of dual-architecture processors using DVFS

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Multi-core computing has gone mobile. Managing power consumption within energy-constrained mobile devices demands low-power architectures to increase battery lifespan. One of the promising solutions offered today by microprocessor architects is hybrid microprocessors that integrate different core architectures on a single die and that are equipped with dynamic frequency-scaling techniques. This paper presents analytical models based on an energy consumption metric to analyze the impact of dynamic frequency scaling on the energy consumption of various architectural design choices for hybrid-architecture chips. The power consumption implications of different processing schemes and various chip configurations were also analyzed. The analysis shows that by choosing the optimal hardware configuration, the energy savings can be increased considerably while keeping sacrifices in performance at tolerable levels.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Moore G (1965) Cramming more components onto integrated circuits. Electronics 38(8):114–116

    Google Scholar 

  2. Mayo RN, Ranganathan P (2004) Energy consumption in mobile services: why future systems need requirements-aware energy scale-down. In: Proceedings of PACS 2003, LNCS, vol 3164, pp 26–40

  3. Silven O, Jyrkka K. Observations on power-efficiency trends in mobile communication devices. EURASIP J Embed Syst 2007: 10, Article ID 56976

  4. Feng W-c, Cameron KW (2007) The Green500 list: encouraging sustainable supercomputing. IEEE Comput 40(12):50–55

    Google Scholar 

  5. Woo DH, Lee HS (2005) Extending Amdahl’s law for energy—efficient computing in the many-core era. IEEE Comput 38(11):32–38

    Article  Google Scholar 

  6. Kumar R et al (2005) Heterogeneous chip multiprocessors. IEEE Comput 38(11):32–38

    Article  Google Scholar 

  7. Mantor M. Entering the golden age of heterogeneous computing. C-DAC PEEP2008, http://ati.amd.com/technology/streamcomputing/IUCAA_Pune_PEEP_2008

  8. Kogge P et al (2008) ExaScale computing study: technology challenges in achieving exascale systems. DARPA, Washington, DC

    Google Scholar 

  9. Fuller SH, Millett LI (2011) Computing performance: game over or next level? IEEE Comput 44(1):31–38

    Google Scholar 

  10. Borkar S (2007) Thousand core chips: a technology perspective. In: Proceedings 44th Design Automation Conference (DAC 07). ACM Press, New York, pp 746–749

  11. Marowka A (2011) Back to thin-core massively parallel processors. IEEE Comput 44(12):49–54

    Article  Google Scholar 

  12. Krishnamurthy RK, Kaul H (2009) Ultra-low voltage technologies for energy-efficient special-purpose hardware accelerators. Intel Technol J 13(4):100–117

    Google Scholar 

  13. Jain S et al (2012) A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOS. In: Proceedings of ISSCC, pp 66–68

  14. Howard J et al (2010) A 48-core IA-32 message-passing processor with DVFS in 45nm CMOS. In: Proceeding of ISSCC, pp 19–21

  15. Howard J et al (2011) A 48-core IA-32 processor in 45 nm CMOS using on-die message-passing and DVFS for performance and power scaling. IEEE J Solid State Circuits 46(1):173–183

    Article  Google Scholar 

  16. Developer manual: Intel 80200 Processor Based on Intel XScale Micro-architecture. http://developer.intel.com/design/iio/manuals/273411.htm

  17. Cruso SE Processor TM5800 Data Book v2.1. http://www.transmeta.com

  18. Dorsey J, Searles S, Ciraula M, Johnson S, Bujanos N, Wu D, Braganza M, Meyers S, Fang E, Kumar R (2007) An integrated quad-core opteron processor. In: Proceedings of the International Solid-State Circuits Conference (ISSCC), pp 102–103

  19. Friedrich J et al. Design of the Power6 microprocessor. In: Proceedings of the International Solid-State Circuits Conference (ISSCC), pp 96–97

  20. Murali S, Mutapcic A, Atienza D, Gupta R, Boyd S, Micheli GD (2008) Temperature-aware processor frequency assignment for MPSoCs using convex optimization. In: Proceedings of the CODES, pp 111–116

  21. Mukherjee R, Memik SO (2006) Physical aware frequency selection for dynamic thermal management in multi-core systems. In: Proceedings of the ICCAD, pp 547–552

  22. Rao R, Vrudhula S (2008) Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors. In: Proceedings of the ICCAD, pp 537–542

  23. Zhang S, Chatha KS (2007) Approximation algorithm for the temperature-aware scheduling problem. In: Proceedings of the ICCAD, vol 31, issue no 5, pp 281-288

  24. Hanumaiah V, Vrudhula S, Chatha KS (2009) Maximizing performance of thermally constrained multi-core processors by dynamic voltage and frequency control. In: Proceedings of the ICCAD, pp 310–313

  25. Hanumaiah V, Vrudhula S, Chatha KS (2009) Performance optimal speed control of multi-core processors under thermal constraints. In: Proceedings of the DATE, pp 288–293

  26. Gustafson JL (1988) Reevaluating Amdahl’s law. Commun ACM 532–533

  27. Hillis D (1998) The pattern on the stone: the simple ideas that make computers work. Basic Books, New York

  28. Amdahl GM (1967) Validity of the single-processor approach to achieving large-scale computing capabilities. In: Proceedings of the Am. Federation of Information Processing Societies Conference vol 41, issue no 7. AFIPS Press, USA, pp 483–485

  29. Marowka A (2012) Extending Amdahl’s law for heterogeneous computing. In: Proceedings of the 10th IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPA), pp 309–316

  30. Lee VW et al (2010) Debunking the 100X GPU vs. CPU myth: an evaluation of throughput computing on CPU and GPU. In: ISCA ’10 Proceedings of the 37th, annual international symposium on computer architecture

  31. Hill MD, Marty MR (2008) Amdahl’s law in the multicore era. IEEE Comput 33–38

  32. Sun X-H, Chen Y (2010) Reevaluating Amdahl’s law in the multicore era. J Parallel Distrib Comput 70:183–188

    Article  MATH  Google Scholar 

  33. Esmaeilzadeh H, Blem E, St. Amant R, Sankaralingam K, Burger DC (2011) Dark silicon and the end of multicore scaling. In: Proceedings of 38th international symposium on computer architecture (ISCA), pp 365–376

  34. Cho S, Melhem RG (2008) Corollaries to Amdahl’s law for energy. IEEE Comput Archit Lett (CAL) 7(1):25–28

    Article  Google Scholar 

  35. Cho Sangyeun, Melhem Rami G (2010) On the interplay of parallelization, program performance, and energy consumption. IEEE Trans Parallel Distrib Syst 21(3):342–353

    Article  Google Scholar 

  36. Hong S, Kim H (2010) An integrated GPU power and performance model. In: Proceedings of ISCA10, ACM, pp 19–23

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ami Marowka.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Marowka, A. Maximizing energy saving of dual-architecture processors using DVFS. J Supercomput 68, 1163–1183 (2014). https://doi.org/10.1007/s11227-014-1147-4

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-014-1147-4

Keywords

Navigation