Skip to main content

Advertisement

Log in

Communication-aware branch and bound with cluster-based latency-constraint mapping technique on network-on-chip

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Mapping intellectual properties (IPs) on network-on-chip (NoC) has a notable impact on the timing, performance, and energy consumption of NoC. In this paper, we present a novel performance and power-aware task mapping technique based on mesh NoC that combines the latency constraint and branch and bound concepts. Our proposal for the definition of latency constraint helps intensify the bounds for pattern searching. With appropriate latency constraints, the best mapping solution can be achieved with less CPU time. This algorithm can be used with any NoC mesh shape. The mapping solutions are emulated on the FPGA-based NoC emulation platform. The experimental results demonstrate that the latency-constraint branch and bound technique can achieve better timing and lower energy consumption in nearly half the CPU time of the traditional branch and bound mapping algorithm.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Pop R, Kumar S (2004) A survey of techniques for mapping and scheduling applications to network on chip systems. Research Report, School of Engineering, Jönköping University, Sweden, pp 1–9

  2. Sahu PK, Chattopadhyay S (2013) A survey on application mapping strategies for Network-on-Chip design. J Syst Archit 59(1):60–76

    Article  MathSciNet  Google Scholar 

  3. Chou CL, Ogras UY, Marculescu R (2008) Energy- and performance-aware incremental mapping for NoCs with multiple voltage levels. IEEE Trans Comput Aided Des Integr Circuits Syst 27(10):1866–1879

    Article  Google Scholar 

  4. Carvalho E, Moraes F (2008) Congestion aware task mapping in heterogeneous MPSoCs. In: International symposium on system-on-chip, 2008. SOC. IEEE, Tampere, Finland, pp 1–4

  5. Wang F, Chen Y, Nicopoulos C (2011) Variation-aware task and communication mapping for MPSoC architecture. IEEE Trans Comput Aided Des Integr Circuits Syst 30(2):295–307

    Article  Google Scholar 

  6. Hu J, Marculescu R (2005) Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans Comput Aided Des Integr Circuits Syst 24(4):551–562

    Article  Google Scholar 

  7. Wang X, Yang M, Liu P (2010) A power-aware mapping approach to map IP cores onto NoCs under bandwidth and latency constraints. ACM Trans Archit Code Optim 7(1):Article 1, 30 pp

  8. Murali S, De Micheli G (2004) Bandwidth-constrained mapping of cores onto NoC architectures. In: Proceedings of the design, automation and test in Europe conference and exhibition. ACM, New York, USA, pp 896–901

  9. Ostler C, Chatha KS (2007) An ILP formulation for system-level application mapping on network processor architecture. In: Proceedings of design, automation and test in Europe (DATE), 2007. ACM, Nice, France, pp 1–6

  10. Tosun S (2011) Clustered-based application mapping method for network-on-chip. Adv Eng Softw 42(10):868–874

    Article  Google Scholar 

  11. Clausen J (1999) Branch and bound algorithms—principles and examples. Department of Computer Science, University of Copenhagen, Denmark, Research Report, pp 2–20

  12. Wu C, Deng C, Liu L, Han J, Chen J, Yin S, Wei S (2015) An efficient application mapping approach for the co-optimization of reliability, energy, and performance in reconfigurable NoC architectures. IEEE Trans Comput Aided Des Integr Circuits Syst 34(8):1264–1277

    Article  Google Scholar 

  13. Lei T, Kumar S (2003) A two-step genetic algorithm for mapping task graphs to a network on chip architecture. In: Proceedings of the Euromicro symposium on digital system design (DSD), Belek-Antalya. IEEE, Turkey, pp 180–187

  14. Harmanani HM, Farah R (2008) A method for efficient mapping and reliable routing for NoC architectures with minimum bandwidth and area. In: Proceedings of the conference on circuits and systems and TAISA. IEEE, Montreal, Quebec, pp 29–32

  15. Marcon CAM, Moreno EI, Calazans NLV, Moraes FG (2007) Evaluation of algorithms for low energy mapping onto NoCs. In: Proceedings of the IEEE international symposium on circuits and systems. IEEE, New Orleans, LA, pp 389–392

  16. Fang J, Yu L, Liu S, Lu J, Chen T (2015) KL\(\_\)GA\(\_\)an application mapping algorithm for mesh-of-tree (MoT) architecture in network-on-chip design. J Supercomput 71:4057–4071

  17. Saeidi S, Khademzadeh A, Mehran A (2007) SMAP: an intelligent mapping tool for network on chip. In: International symposium on signals, circuits and systems (ISSCS), 2007. IEEE, Iasi, pp 1–4

  18. Tosun S, Ozturk O, Ozkan E, Ozen M (2015) Application mapping algorithms for mesh-based network-on-chip architectures. J Supercomput 71:995–1017

    Article  Google Scholar 

  19. Sahu PK, Manna K, Shah T, Chattopadhyay S (2015) A constructive heuristic for application mapping onto mesh based network-on-chip. J Circuits Syst Comput 24(8):1550126, 26 pp. doi:10.1142/S0218126615501261

  20. Zhou L, Jing M, Zhong L (2012) Task-binding based branch-and-bound algorithm for NoC mapping. In: 2012 IEEE international symposium on circuits and systems (ISCAS). IEEE, Seoul, Korea, pp 648–651

  21. Reshadi M, Khademzadeh A, Reza A (2010) Elixir: a new bandwidth-constrained mapping for networks-on-chip. IEICE Electron Express 7(2):73–79

    Article  Google Scholar 

  22. Chi H-C, Ferng F, Hsieh Y-C (2012) Area utilization based mapping for network-on-chip architectures with over-sized IP cores. In: 2012 IEEE 14th international conference on high performance computing and communication and 2012 IEEE 9th international conference on embedded software and systems (HPCC-ICESS), pp 1520–1525

  23. Li G, Wu J, Ma G (2007) Mapping of irregular IP onto NoC architecture with optimal energy consumption. Tsinghua Sci Technol 12(S1):146–149

    Article  MathSciNet  Google Scholar 

  24. Srinivasan K, Chatha KS (2005) A technique for low energy mapping and routing in network-on-chip architectures. In: ISLPED ’05 proceedings of the 2005 international symposium on low power electronics and design. ACM, New York, USA, pp 387–396

  25. Pang K, Fresse V, Yao S, de Lima Jr OA (2015) Task mapping and mesh topology exploration for FPGA-based network on chip. Microprocess Microsyst 39(25):189–199

    Article  Google Scholar 

  26. Fresse V, Ge Z, Tan J (2012) Case study: deployment of the 2D NoC on 3D for the generation of large emulation platforms. In: 23rd IEEE international symposium on rapid system prototyping (RSP), 2012. IEEE, Tampere, Finland, pp 23–29

  27. Ye TT, Benini L, De Micheli G (2003) Packetized on-chip interconnect communication analysis for MPSoC. In: Design, automation and test in Europe conference and exhibition, pp 344–349

  28. Tan J, Fresse V, Rousseau F (2011) Generation of emulation platforms for NoC exploration on FPGA. In: 22nd IEEE international symposium on rapid system prototyping (RSP). Karlsruhe, Germany, pp 186–192

  29. Dick RP, Rhodes DL, Wolf W (1998) TGFF: task graphs for free. In: Proceedings of the 6th int’l workshop on hardware/software co-design (CODES/CASHE’98). ACM, Washington, USA, pp 97–101

Download references

Acknowledgments

The research reported in this paper was supported by National High-tech Research and Development Projects (863) under Grant 2012AA012705, International Cooperation in Science and Technology Special Project of Ministry of Science and Technology of China under Grant 2012DFB10170, and China Scholarship Council under Grant 201306250116. And funding for this project was partly provided by a grant from la Région Rhône-Alpes as well as by the CNPq (process 245340/2012-2).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ke Pang.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Pang, K., Fresse, V. & Yao, S. Communication-aware branch and bound with cluster-based latency-constraint mapping technique on network-on-chip. J Supercomput 72, 2283–2309 (2016). https://doi.org/10.1007/s11227-016-1732-9

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-016-1732-9

Keywords

Navigation