Skip to main content
Log in

A heuristic clustering approach to use case-aware application-specific network-on-chip synthesis

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Network-on-chip (NoC) is a promising paradigm for efficient communication between the processing elements inside multi-core system-on-chip (SoC) and general purpose chip-multi-processor. Choosing appropriate topology for NoCs with predefined application characteristics plays a pivotal role in improving power and area metrics. Until now, different irregular topologies with varying objective optimization parameters have been offered. In this paper, a novel heuristic topology synthesis method for creating application-specific NoCs consisting of some use cases which are described the applications characteristics has been proposed. This approach is composed of application clustering for assigning cores to specific routers, topology construction for finding a routing path for all flows, and also link insertion for producing final topology by interconnecting the routers. To confirm the proposed method, results of an industrial smartphone SoC and some generic benchmarks have been used as case studies. Experimental results demonstrate the benefits of the proposed method compared to state-of-the-art approaches.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Agarwal M, Dubey R, Jain N, Raghuvanshi D (2013) Comparative analysis of different topologies based on network-on-chip architectures. Int J Electron Commun Eng 6:29–40

    Google Scholar 

  2. Sehgal V (2014) Markovian models based stochastic communication in networks-in-package. IEEE Trans Parallel Distrib Syst 26:2806–2821

    Article  Google Scholar 

  3. Henkel J, Wolf W, Chakradhar S (2004) On-chip networks: a scalable, communication-centric embedded system design paradigm. In: 17th International Conference on VLSI Design, pp 845–851

  4. Lee HG, Chang N, Ogras UY, Marculescu R (2008) On-chip communication architecture exploration: a quantitative evaluation of point-to-point, bus, and network-on-chip approaches. ACM Trans Des Autom Electron Syst 12:1–20

    Article  Google Scholar 

  5. Choudhary N (2013) Migration of on-chip networks from 2 dimensional plane to 3 dimensional plane. Int J Eng Adv Technol 2:516–519

    Google Scholar 

  6. Cilardo A, Fusella E (2016) Design automation for application-specific on-chip interconnects: a survey. INTEGRATION VLSI J 52:102–121

    Article  Google Scholar 

  7. Thapliyal H, Arabnia Hamid R, Srinivas MB (2009) Efficient reversible logic design of BCD subtractors. Trans Comput Sci J 3, 99–121. Springer, Berlin

  8. Balasubramanian P, Arisaka R, Arabnia Hamid R (2012) RB_DSOP: a rule based disjoint sum of products synthesis method. In: Proceedings of the 2012 International Conference on Computer Design, pp 39–43

  9. Balasubramanian P, Edwards DA, Arabnia Hamid R (2011) Robust asynchronous carry lookahead adders. In: Proceedings of the International Conference on Computer Design, pp 119–124

  10. Thapliyal H, Jayashree HV, Nagamani AN, Arabnia Hamid R (2013) Progress in reversible processor design: a novel methodology for reversible carry look-ahead adder. In: Transactions in computational science. Springer, Berlin, pp 73–97

  11. Gopineedi PD, Thapliyal H, Srinivas MB, Arabnia Hamid R (2006) Novel and efficient 4:2 and 5:2 compressors with minimum number of transistors designed for low-power operations. In: Proceedings of the 2006 International Conference on Embedded Systems and Applications, pp 160–166

  12. Todorov V, Mueller-Gritschneder D, Reinig H, Schlichtmann U (2013) A spectral clustering approach to application-specific network-on-chip synthesis. In: International Conference on Automation and Test Design, pp 1783–1788

  13. Verma A, Multani PS, Mueller-Gritschneder D, Todorov V (2013) A greedy approach for latency-bounded deadlock-free routing path allocation for application-specific NoCs. In: Seventh IEEE/ACM International Symposium on Networks on Chip, pp 1–7

  14. Todorov V, Mueller-Gritschneder D, Reinig H, Schlichtmann U (2014) Deterministic synthesis of hybrid application-specific network-on-chip topologies. IEEE Trans Comput Aided Des Integr Circ Syst 33:1503–1516

    Article  Google Scholar 

  15. Chen J, Li C, Gillard P (2011) Network-on-chip (NoC) topologies and performance. Proceedings of the 2011 Newfoundland Electrical and Computer Engineering Conference, pp 1–6

  16. Ziegelmann M (2010) Constrained shortest paths and related problems: constrained network optimization. VDM Verlag, Germany

  17. Thapliyal H, Srinivas MB, Arabnia Hamid R (2005) A need of quantum computing: reversible logic synthesis of parallel binary adder-subtractor. In: Proceedings of the 2005 International Conference on Embedded Systems and Applications, pp 60–68

  18. Thapliyal H, Arabnia Hamid R, Vinod AP (2006) Combined integer and floating point multiplication architecture (CIFM) for FPGAs and its reversible logic implementation. In: 49th IEEE international Midwest Symposium on Circuits and Systems, pp 148–154

  19. Thapliyal H, Arabnia Hamid R (2006) Reversible programmable logic array (RPLA) using Fredkin and Feynman gates for industrial electronics and applications. In: Proceedings of the 2006 International Conference on Computer Design & Conference on Computing in Nanotechnology, pp 70–74

  20. Thapliyal H, Arabnia Hamid R, Bajpai R, Sharma KK (2007) Combined integer and variable precision (CIVP) floating point multiplication architecture for FPGAs. In: Proceedings of 2007 International Conference on Parallel & Distributed Processing Techniques & Applications, pp 449–450

  21. Johari S, Sehgal VK (2015) Master-based routing algorithm and communication-based cluster topology for 2D NoC. J Supercomput 71:4260–4286

    Article  Google Scholar 

  22. Tahdhighi M, Mousavi M, Khadivi P, Bazargan K (2012) A new hybrid topology for network on chip. In: Proceedings of the 20th Iranian Conference of Electrical Engineering

  23. Zhao H et al (2012) A hybrid NoC design for cache coherence optimization for chip multiprocessors. In: Proceedings of the 49th Annual Design Automation Conference, pp 834–842

  24. Soumya J, Santanu C (2013) Application-specific network-on-chip synthesis with flexible router placement. J Syst Architect 56:361–371

    Article  Google Scholar 

  25. Zhong W, Yu B, Chen S, Yoshimura T (2011) Application-specific network-on-chip synthesis: cluster generation and network component insertion. In: 12th international symposium on quality electronic design, pp 1–8

  26. Khan GN, Tino A (2012) Synthesis of NoC interconnects for custom MPSoC architectures. In: Sixth IEEE/ACM International Symposium on networks on Chip, pp 75–82

  27. Huang B, Chen S, Zhong W, Yoshimura T (2013) Topology-aware floorplanning for 3D application-specific network-on-chip synthesis. In: IEEE International Symposium on Circuits and Systems, pp 1732–1735

  28. Jain S, Choudhary N, Singh D (2014) STG-NoC: a tool for generating energy optimized custom built NoC topology. Int J Comput Appl 85:22–26

    Google Scholar 

  29. Chang KC, Chen TF (2008) Low-power algorithm for automatic topology generation for application-specific networks on chips. IET Comput Digital Tech 2:239–249

    Article  Google Scholar 

  30. Maheswari M, Seetharaman G (2012) Implementation of application specific network-on-chip architectures on reconfigurable device using topology generation algorithm with genetic algorithm based optimization technique. Chap Commun Comput Inf Sci 292:436–445

    Google Scholar 

  31. Tosun S, Ar Y, Ozdemir S (2012) Application-specific topology generation algorithms for network-on-chip design. Comput Digit Techn IET 6:318–333

    Article  Google Scholar 

  32. Ababei C (2010) Efficient congestion-oriented custom network-on-chip topology synthesis. In: International Conference on Reconfigurable Computing and FPGAs, pp 352–357

  33. Leary G, Chatha K (2012) A holistic approach to network-on-chip synthesis. In: International Conference on Hardware/Software Codesign and System Synthesis, pp 213–222

  34. Li CL, Lee JH, Yang JS, Han TH (2014) Communication-aware custom topology generation for VFI network-on-chip. IEICE Electron Express 11:1–8

    Google Scholar 

  35. Elmiligi H, Morgan AA, Watheq El-Kharashi M, Gebali F (2009) Power optimization for application-specific networks-on-chips: A topology-based approach. Microprocess Microsyst 33:343–355

    Article  Google Scholar 

  36. Yu B, Dong S, Chen S, Goto S (2010) Floorplanning and topology generation for application-specific network-on-chip. In: 15th Asia and South Pacific Design Automation Conference (ASP-DAC)

  37. Intel Mobile Communications GmbH (2013) Smartphone example (online). http://www.eda.ei.tum.de/uploads/media/SoCExample1.xml

  38. Chen-Ling C, Marculescu R (2008) Contention-aware application mapping for network-on-chip communication architectures. In: IEEE International Conference on Computer Design, pp 164–169

  39. Murali S, De Micheli G (2004) Bandwidth-constrained mapping of cores onto NoC architectures. Conf Des Autom Test 2 896:304

    Google Scholar 

  40. Kahng AB, Lin B, Nath S (2012) Explicit modeling of control and data for improved NoC router estimation. In: Design Automation Conference (DAC), pp 392–397

  41. Orion software release (online). http://vlsicad.ucsd.edu/ORION3/index.html

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Fatemeh Vardi.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Vardi, F., Khadem-Zadeh, A. & Reshadi, M. A heuristic clustering approach to use case-aware application-specific network-on-chip synthesis. J Supercomput 73, 2098–2129 (2017). https://doi.org/10.1007/s11227-016-1905-6

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-016-1905-6

Keywords

Navigation