Skip to main content

Advertisement

Log in

HYSTERY: a hybrid scheduling and mapping approach to optimize temperature, energy consumption and lifetime reliability of heterogeneous multiprocessor systems

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

In this paper, a hybrid scheduling and mapping approach to jointly optimize performance, lifetime reliability, energy consumption and temperature of heterogeneous multiprocessor systems on chip (MPSoCs), called “HYSTERY,” is proposed. Due to the growth of dynamic behavior in modern applications of embedded systems, along with necessity of performing complicated computations to jointly optimize the main design challenges of MPSoCs, we propose a hybrid scheduling approach in this paper. The proposed approach deals with the optimization of the mentioned design challenges at the design-time through solving an optimization problem and considering load balancing in task assignment. Moreover, at the runtime, the derived static solution is applied to the system and the design metrics monitored periodically and controlled, if required, to adapt the static scheduling decisions at the runtime. Several experiments with synthetic and real-life applications demonstrate that the proposed approach can effectively optimize the design challenges and manage dynamism of execution environment. In comparison with the uncontrolled runtime scheduling approach, HYSTERY shows 20% improvement in temperature averagely, which subsequently enhance lifetime reliability and power consumption. Furthermore, HYSTERY improves the main design parameters of MPSOCs about 21% in average compared to the existing scheduling approaches.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

References

  1. Wolf W (2004) The future of multiprocessor systems-on-chips. In: Proceedings of the 41st Annual Conference on Design Automation—DAC ’04, ACM Press

  2. Ferrandi F, Lanzi PL, Pilato C, Sciuto D, Tumeo A (2010) Ant colony heuristic for mapping and scheduling tasks and communications on heterogeneous embedded systems. IEEE Trans Comput Aided Des Integr Circ Syst 29(6):911–924

    Article  Google Scholar 

  3. Cheng L, Zhao Z, Huang K, Chen G, Knoll A (2017) Mcftp: A framework to explore and prototype multi-core thermal managements on real processors. In: Trustcom/BigDataSE/ICESS, 2017 IEEE, IEEE, pp 806–814

  4. Chantem T, Hu XS, Dick RP (2011) Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. IEEE Trans Very Large Scale Integr (VLSI) Syst 19(10):1884–1897

    Article  Google Scholar 

  5. Viswanath R, Wakharkar V, Watwe A, Lebonheur V (2000) Thermal performance challenges from silicon to systems. Intel Technol J 4(3):1–16

    Google Scholar 

  6. Iranfar A, Terraneo F, Simon WA, Dragic L, Piljic I, Zapater M, Fornaciari W, Kovac M, Atienza Alonso D (2017) Thermal characterization of next-generation workloads on heterogeneous mpsocs. In: International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), pp 1–6

  7. Hung CL, Magoulès F, Qiu M, Hsu RC, Lin CY (2017) Embedded multi-core computing and applications. J Supercomput 73(8):3327–3332

    Article  Google Scholar 

  8. Bernstein D, Rodeh M, Gertner I (1989) On the complexity of scheduling problems for parallel/pipelined machines. IEEE Trans Comput 38(9):1308–1313

    Article  MathSciNet  MATH  Google Scholar 

  9. Ma Y, Chantem T, Dick RP, Hu XS (2017) Improving system-level lifetime reliability of multicore soft real-time systems. IEEE Trans Very Large Scale Integr (VLSI) Syst 25(6):1895–1905

    Article  Google Scholar 

  10. Mohaqeqi M, Kargahi M (2015) Thermal analysis of stochastic DVFS-enabled multicore real-time systems. J Supercomput 71(12):4594–4622

    Article  Google Scholar 

  11. Mosayyebzadeh A, Amiraski MM, Hessabi S (2016) Thermal and power aware task mapping on 3d network on chip. Comput Electr Eng 51:157–167

    Article  Google Scholar 

  12. Das A, Kumar A, Veeravalli B, Bolchini C, Miele A (2014) Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs. In: Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014, IEEE Conference Publications

  13. Sheikh HF, Ahmad I (2016) Sixteen heuristics for joint optimization of performance, energy, and temperature in allocating tasks to multi-cores. ACM Trans Parallel Comput 3(2):1–29

    Article  MathSciNet  Google Scholar 

  14. Huang L, Yuan F, Xu Q (2009) Lifetime reliability-aware task allocation and scheduling for mpsoc platforms. In: Proceedings of the Conference on Design, Automation and Test in Europe. DATE ’09, pp 51–56

  15. Pérez B, Stafford E, Bosque JL, Beivide R (2017) Energy efficiency of load balancing for data-parallel applications in heterogeneous systems. J Supercomput 73(1):330–342

    Article  Google Scholar 

  16. Chatterjee N, Paul S, Mukherjee P, Chattopadhyay S (2017) Deadline and energy aware dynamic task mapping and scheduling for network-on-chip based multi-core platform. J Syst Arch 74:61–77

    Article  Google Scholar 

  17. Das A, Kumar A, Veeravalli B (2016) Reliability and energy-aware mapping and scheduling of multimedia applications on multiprocessor systems. IEEE Trans Parallel Distrib Syst 27(3):869–884

    Article  Google Scholar 

  18. Girault A (2009) Kalla H (2009) A novel bicriteria scheduling heuristics providing a guaranteed global system failure rate. IEEE Trans Dependable Secur Comput 6(4):241–254

    Article  Google Scholar 

  19. Chantem T, Xiang Y, Hu XS, Dick RP (2013) Enhancing multicore reliability through wear compensation in online assignment and scheduling. In: Design, Automation and Test in Europe Conference and Exhibition (DATE), 2013, IEEE Conference Publications

  20. Ma Y, Chantem T, Dick RP, Wang S, Hu XS (2017) An on-line framework for improving reliability of real-time systems on “big-little” type MPSoCs. In: Design, Automation and Test in Europe Conference and Exhibition (DATE), 2017, IEEE

  21. Quan W, Pimentel AD (2015) A hybrid task mapping algorithm for heterogeneous mpsocs. ACM Trans Embed Comput Syst 14(1):1–25

    Article  Google Scholar 

  22. Bolchini C, Carminati M, Miele A, Das A, Kumar A, Veeravalli B (2013) Run-time mapping for reliable many-cores based on energy/performance trade-offs. In: 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), IEEE

  23. Huang L, Xu Q (2010) Energy-efficient task allocation and scheduling for multi-mode mpsocs under lifetime reliability constraint. In: Proceedings of the Conference on Design, Automation and Test in Europe. DATE ’10, pp 1584–1589

  24. Hartman AS, Thomas DE, Meyer BH (2010) A case for lifetime-aware task mapping in embedded chip multiprocessors. In: Proceedings of the Eighth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis—CODES/ISSS ’10, ACM Press

  25. Tosun S (2011) Energy- and reliability-aware task scheduling onto heterogeneous MPSoC architectures. J Supercomput 62(1):265–289

    Article  Google Scholar 

  26. Assayad I, Girault A, Kalla H (2012) Tradeoff exploration between reliability, power consumption, and execution time for embedded systems. Int J Softw Tools Technol Transf 15(3):229–245

    Article  Google Scholar 

  27. Das A, Shafik RA, Merrett GV, Al-Hashimi BM, Kumar A, Veeravalli B (2014) Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems. In: Proceedings of the 51st Annual Design Automation Conference on Design Automation Conference—DAC ’14, ACM Press

  28. Zhou J, Wei T, Chen M, Yan J, Hu XS, Ma Y (2016) Thermal-aware task scheduling for energy minimization in heterogeneous real-time MPSoC systems. IEEE Trans Comput Aided Des Integr Circ Syst 35(8):1269–1282

    Article  Google Scholar 

  29. Coskun AK, Rosing TS, Whisnant K (2007) Temperature aware task scheduling in MPSoCs. In: 2007 Design, Automation and Test in Europe Conference and Exhibition, IEEE

  30. Ukhov I, Bao M, Eles P, Peng Z (2012) Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems. In: Proceedings of the 49th Annual Design Automation Conference on—DAC ’12, ACM Press

  31. Iranfar A, Kamal M, Afzali-Kusha A, Pedram M, Atienza D (2017) Thespot: Thermal stress-aware power and temperature management for multiprocessor systems-on-chip. IEEE Trans Comput Aided Des Integr Circ Syst. https://doi.org/10.1109/TCAD.2017.2768417

  32. Hartman AS, Thomas DE (2012) Lifetime improvement through runtime wear-based task mapping. In: Proceedings of the Eighth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. CODES+ISSS ’12

  33. Frumusanu A (2015) The samsung exynos 7420 deep dive-inside a modern 14nm soc. AnandTech Article

  34. nVidia: Nvidia tegra x1: Nvidias new mobile superchip. http://www.nvidia.co.uk/object/tegra-x1-jan4-2015-uk.html (2015) Accessed 25 Dec 2017

  35. Srinivasan J, Adve S, Bose P, Rivers J (2005) Lifetime reliability: toward an architectural solution. IEEE Micro 25(3):70–80

    Article  Google Scholar 

  36. Coskun AK, Rosing TS, Mihic K, De Micheli G, Leblebici Y (2006) Analysis and optimization of mpsoc reliability. J Low Power Electr 2(1):56–69

    Article  Google Scholar 

  37. Rosing TS, Mihic K, De Micheli G (2007) Power and reliability management of socs. IEEE Trans Very Large Scale Integr (VLSI) Syst 15(4):391–403

    Article  Google Scholar 

  38. Das A, Al-Hashimi BM, Merrett GV (2016) Adaptive and hierarchical runtime manager for energy-aware thermal management of embedded systems. ACM Trans Embed Comput Syst (TECS) 15(2):24

    Google Scholar 

  39. Council JEDE (2016) Failure mechanisms and models for semiconductor devices. Technical Report JEP122H

  40. Goel AK (2007) High-speed VLSI interconnections, vol 185. Wiley, Hoboken

    Book  Google Scholar 

  41. Das A, Kumar A, Veeravalli B (2014) Energy-aware task mapping and scheduling for reliable embedded computing systems. ACM Trans Embed Comput Syst 13(2s):1–27

    Article  Google Scholar 

  42. Kumar P, Thiele L (2011) Thermally optimal stop-go scheduling of task graphs with real-time constraints. In: 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011), IEEE

  43. Liu Y, Dick RP, Shang L, Yang H (2007) Accurate temperature-dependent integrated circuit leakage power estimation is easy. In: 2007 Design, Automation and Test in Europe Conference and Exhibition, IEEE

  44. Skadron K, Stan MR, Sankaranarayanan K, Huang W, Velusamy S, Tarjan D (2004) Temperature-aware microarchitecture. ACM Trans Arch Code Optim 1(1):94–125

    Article  Google Scholar 

  45. Blomberg T (1996) Heat conduction in two and three dimensions: computer modelling of building physics applications. Ph.D. thesis, Lund University

  46. Hu TC (1961) Parallel sequencing and assembly line problems. Oper Res 9(6):841–848

    Article  MathSciNet  Google Scholar 

  47. Leung JYT, Anderson JH (2004) Handbook of scheduling: algorithms, models, and performance analysis (Chapman & Hall/CRC computer and information science series). Chapman and Hall/CRC, London

    Google Scholar 

  48. T’kindt V, Billaut JC (2006) Multicriteria scheduling: theory, models and algorithms. Springer, Berlin

    MATH  Google Scholar 

  49. Kumar P, Thiele L (2014) Worst-case guarantees on a processor with temperature-based feedback control of speed. ACM Trans Embed Comput Syst 13(4s):1–26

    Article  Google Scholar 

  50. Terzopoulos G, Karatza H (2013) Energy-efficient real-time heterogeneous cluster scheduling with node replacement due to failures. J Supercomput 68(2):867–889

    Article  Google Scholar 

  51. Svobodova L (1973) Measuring computer system utilization with a hardware and a hybrid monitor. ACM SIGMETRICS Perform Eval Rev 2(4):20–34

    Article  Google Scholar 

  52. E3S: Embedded system synthesis benchmark suite. http://ziyang.eecs.umich.edu/~dickrp/e3s/ Accessed 23 June 2017

  53. Rhodes, D., Dick, R., Vallerio, K.: Task graphs for free. http://ziyang.eecs.umich.edu/~dickrp/tgff Accessed 23 June 2017

  54. Liao W, He L, Lepak K (2005) Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Trans Comput Aided Des Integr Circ Syst 24(7):1042–1053

    Article  Google Scholar 

  55. Guthaus M, Pingenberg J, Austin T, Mudge T, Brown-MiBench R (2001) A free, commercially representative embedded benchmark suite, wwc-4. In: IEEE International Workshop on Workload Characterization

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hamid R. Zarandi.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Abdi, A., Zarandi, H.R. HYSTERY: a hybrid scheduling and mapping approach to optimize temperature, energy consumption and lifetime reliability of heterogeneous multiprocessor systems. J Supercomput 74, 2213–2238 (2018). https://doi.org/10.1007/s11227-018-2248-2

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-018-2248-2

Keywords

Navigation