Skip to main content

Advertisement

Log in

SAFT-PHENIC: a thermal-aware microring fault-resilient photonic NoC

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Photonic networks-on-chip are currently being researched by many different groups. It is believed that this technology will be the future of many-core computing thanks to their advantages in bandwidth, power efficiency, and propagation speed. Related research has mainly addressed network topology, router micro-architecture design, as well as performance and power optimization and analysis. However, the key optical device in PNoC systems, microring resonators (MRs) are very sensitive to temperature fluctuation and manufacturing errors. A single MR failure can cause messages to be misdelivered or lost, which results in bandwidth loss or even complete failure of the whole system. This can be caused by a change in just a few degrees Celsius. In this paper, we present a thermal-aware routing algorithm which attempts to combat the fluctuations in heat across a chip. We used a traffic and fault-aware algorithm which attempts to avoid using a single node too much and avoids it even more if faulty MRs are overtaking the circuit. This system showed a 38% reduction in the peak energy of the nodes in the photonic network. The system was also able to maintain functionality with minimal degradation up until 15% of MRs had failed and remained functional until 30% of MRs had failed.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15

Similar content being viewed by others

References

  1. Ahn J, Fiorentino M, Beausoleil R, Binkert N, Davis A, Fattal D, Jouppi N, McLaren M, Santori C, Schreiber R, Spillane S, Vantrease D, Xu Q (2009) Devices and architectures for photonic chip-scale integration. Appl Phys A 95(4):989–997. https://doi.org/10.1007/s00339-009-5109-2

    Article  Google Scholar 

  2. Amatya R, Holzwarth CW, Gan F, Smith HI, Kärtner F, Ram RJ, Popovic MA (2007) Low power thermal tuning of second-order microring resonators. In: Conference on Lasers and Electro-Optics, Optical Society of America, p CFQ5

  3. Ben Ahmed A, Ben Abdallah A (2015) Hybrid silicon-photonic network-on-chip for future generations of high-performance many-core systems. J Supercomput. https://doi.org/10.1007/s11227-015-1539-0

    Google Scholar 

  4. Ahmed AB, Meyer M, Okuyama Y, Abdallah AB (2015) Efficient router architecture, design and performance exploration for many-core hybrid photonic network-on-chip (2d-phenic). In: 2nd International Conference on Information Science and Control Engineering (ICISCE), 2015, pp 202–206

  5. Ahmed AB, Meyer M, Okuyama Y, Abdallah AB (2015) Hybrid photonic NoC based on non-blocking photonic switch and light-weight electronic router. In: IEEE International Conference on Systems, Man and Cybernetics (SMC), 2015

  6. Ahmed AB, Okuyama Y, Abdallah AB (2015) Contention-free routing for hybrid photonic mesh-based network-on-chip systems. In: 9th IEEE International Symposium on Embedded Multicore/Manycore SoCs (MCSoc), pp 235–242

  7. Ahmed AB, Okuyama Y, Abdallah AB (2015) Non-blocking electro-optic network-on-chip router for high-throughput and low-power many-core systems. In: World Congress on Information Technology and Computer Applications, 2015

  8. Bogaerts W, De Heyn P, Van Vaerenbergh T, De Vos K, Kumar Selvaraja S, Claes T, Dumon P, Bienstman P, Van Thourhout D, Baets R (2012) Silicon microring resonators. Laser Photonics Rev 6(1):47–73

    Article  Google Scholar 

  9. Buter W, Huang Y, Gregorek D, Garcia-Ortiz A (2015) A decentralised, autonomous, and congestion-aware thermal monitoring infrastructure for photonic network-on-chip. In: 10th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), 2015. IEEE, pp 1–8

  10. Chan J, Bergman K (2012) Photonic interconnection network architectures using wavelength-selective spatial routing for chip-scale communications. IEEE/OSA J Opt Commun Netw 4(3):189–201

    Article  Google Scholar 

  11. Chan J, Hendry G, Biberman A, Bergman K, Carloni LP (2010) Phoenixsim: a simulator for physical-layer analysis of chip-scale photonic interconnection networks. In: Proceedings of the Conference on Design, Automation and Test in Europe, European Design and Automation Association, pp 691–696

  12. Chittamuru S, Pasricha S (2015) Crosstalk mitigation for high-radix and low-diameter photonic NoC architectures. IEEE Des Test 32(3):29–39. https://doi.org/10.1109/MDAT.2015.2414417

    Article  Google Scholar 

  13. Chittamuru SVR, Pasricha S (2015) Improving crosstalk resilience with wavelength spacing in photonic crossbar-based network-on-chip architectures. In: IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS), 2015. IEEE, pp 1–4

  14. Chu S, Pan W, Sato S, Kaneko T, Little B, Kokubun Y (1999) Wavelength trimming of a microring resonator filter by means of a UV sensitive polymer overlay. IEEE Photonics Technol Lett 11(6):688–690

    Article  Google Scholar 

  15. Demir Y, Hardavellas N (2015) Parka: thermally insulated nanophotonic interconnects. In: Proceedings of the 9th International Symposium on Networks-on-Chip. ACM, p 1

  16. Grant M, Boyd S (2008) Graph implementations for nonsmooth convex programs. In: Blondel V, Boyd S, Kimura H (eds) Recent advances in learning and control, lecture notes in control and information sciences. Springer, Berlin, pp 95–110

    Google Scholar 

  17. Guarino A, Poberaj G, Rezzonico D, Degl’Innocenti R, Günter P (2007) Electro-optically tunable microring resonators in lithium niobate. Nat Photonics 1(7):407–410

    Article  Google Scholar 

  18. Guha B, Kyotoku BBC, Lipson M (2010) Cmos-compatible athermal silicon microring resonators. Opt Express 18(4):3487–3493. https://doi.org/10.1364/OE.18.003487

    Article  Google Scholar 

  19. Hu ZS, Hung FY, Chen KJ, Chang SJ, Hsieh WK, Liao TY (2013) Improvement in thermal degradation of zno photodetector by embedding silver oxide nanoparticles. Funct Mater Lett 6(01):1350,001

    Article  Google Scholar 

  20. Huang W, Ghosh S, Velusamy S, Sankaranarayanan K, Skadron K, Stan M (2006) Hotspot: a compact thermal modeling methodology for early-stage VLSI design. IEEE Trans Very Large Scale Integr (VLSI) Syst 14(5):501–513. https://doi.org/10.1109/TVLSI.2006.876103

    Article  Google Scholar 

  21. Kahng A, Li B, Peh LS, Samadi K (2012) Orion 2.0: a power-area simulator for interconnection networks. IEEE Trans Very Large Scale Integr (VLSI) Syst 20(1):191–196

    Article  Google Scholar 

  22. Kaliraj PK (2013) Reliability-performance trade-offs in photonic NOC architectures. ProQuest Dissert Thes 64.

  23. Kappeler R (2004) Radiation testing of micro photonic components Stagiaire Project Report. ESA/ESTEC. Ref. No. EWP 2263

  24. Kim DW, Barkai A, Jones R, Elek N, Nguyen H, Liu A (2008) Silicon-on-insulator eight-channel optical multiplexer based on a cascade of asymmetric Mach–Zehnder interferometers. Opt Lett 33(5):530–532

    Article  Google Scholar 

  25. Li H, Fourmigue A, Le Beux S, Letartre X, O’Connor I, Nicolescu G (2015) Thermal aware design method for vcsel-based on-chip optical interconnect. In: Proceedings of the 2015 Design, Automation and Test in Europe Conference and Exhibition. EDA Consortium, pp 1120–1125

  26. Li Z, Mohamed M, Chen X, Dudley E, Meng K, Shang L, Mickelson AR, Joseph R, Vachharajani M, Schwartz B et al (2012) Reliability modeling and management of nanophotonic on-chip networks. IEEE Trans Very Large Scale Integr (VLSI) Syst 20(1):98–111

    Article  Google Scholar 

  27. Meyer M, Ahmed AB, Okuyama Y, Abdallah AB (2015) Fttdor: microring fault-resilient optical router for reliable optical network-on-chip systems. In: IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2015, pp 227–234. https://doi.org/10.1109/MCSoC.2015.17

  28. Meyer M, Okuyama Y, Abdallah AB (2015) On the design of a fault-tolerant photonic network. In: IEEE International Conference on Systems, Man and Cybernetics (SMC), 2015, pp 821–826

  29. Meyer M, Okuyama Y, Abdallah AB (2016) Microring fault-resilient photonic network-on-chip for reliable high-performance many-core systems. J Supercomput. https://doi.org/10.1007/s11227-016-1846-0

    Google Scholar 

  30. Mohamed M (2013) Silicon nanophotonics for many-core on-chip networks. Ph.D. thesis, University of Colorado

  31. Nikdast M, Xu J (2014) On the impact of crosstalk noise in optical networks-on-chip. In: Design Automation Conference (DAC)

  32. Nikdast M, Xu J, Wu X, Zhang W, Ye Y, Wang X, Wang Z, Wang Z (2014) Systematic analysis of crosstalk noise in folded-torus-based optical networks-on-chip. IEEE Trans Comput Aided Des Integr Circuits Syst 33(3):437–450

    Article  Google Scholar 

  33. Nitta C, Farrens M, Akella V (2011a) Addressing system-level trimming issues in on-chip nanophotonic networks. In: IEEE 17th International Symposium on High Performance Computer Architecture (HPCA), 2011, pp 122–131. https://doi.org/10.1109/HPCA.2011.5749722

  34. Nitta CJ, Farrens MK, Akella V (2011b) Resilient microring resonator based photonic networks. In: Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, New York, NY, USA, MICRO-44, pp 95–104. https://doi.org/10.1145/2155620.2155632

  35. Palesi M, Holsmark R, Kumar S, Catania V (2009a) Application specific routing algorithms for networks on chip. IEEE Trans Parallel Distrib Syst 20(3):316–330. https://doi.org/10.1109/TPDS.2008.106

    Article  Google Scholar 

  36. Palesi M, Kumar S, Catania V (2009b) Bandwidth-aware routing algorithms for networks-on-chip platforms. IET Comput Digit Tech 3(5):413–429. https://doi.org/10.1049/iet-cdt.2008.0082

    Article  Google Scholar 

  37. Pan Y, Kumar P, Kim J, Memik G, Zhang Y, Choudhary A (2009) Firefly: illuminating future network-on-chip with nanophotonics. In: ACM SIGARCH Computer Architecture News, vol 37. ACM, pp 429–440

  38. Qian Z, Tsui CY (2011) A thermal-aware application specific routing algorithm for network-on-chip design. In: 16th Asia and South Pacific, Design Automation Conference (ASP-DAC), 2011, pp 449–454. https://doi.org/10.1109/ASPDAC.2011.5722232

  39. Qouneh A, Li Z, Joshi M, Zhang W, Fu X, Li T (2012) Aurora: a thermally resilient photonic network-on-chip architecture. In: IEEE 30th International Conference on Computer Design (ICCD), 2012, pp 379–386. https://doi.org/10.1109/ICCD.2012.6378667

  40. Rafizadeh D, Zhang J, Hagness S, Taflove A, Stair K, Ho S, Tiberio R (1997) Temperature tuning of microcavity ring and disk resonators at 1.5-\(\upmu \) m. In: LEOS ’97 10th Annual Meeting Conference Proceedings on Lasers and Electro-Optics Society Annual Meeting, 1997, vol 2. IEEE, pp 162–163. https://doi.org/10.1109/LEOS.1997.645327

  41. Tinati M, Karimi R, Koohi S, Hessabi S (2017) Topology exploration of a thermally resilient wavelength-based ONoC. J Parallel Distrib Comput 100:140–156

  42. Yang SG, Li L, Zhang YA, Zhang B, Xu Y (2007) A power-aware adaptive routing scheme for network on a chip. In: 7th International Conference on ASIC, 2007, ASICON ’07, pp 1301–1304. https://doi.org/10.1109/ICASIC.2007.4415875

  43. Ye Y, Xu J, Wu X, Zhang W, Wang X, Nikdast M, Wang Z, Liu W (2011) Modeling and analysis of thermal effects in optical networks-on-chip. In: IEEE Computer Society Annual Symposium on VLSI, 2011, pp 254–259. https://doi.org/10.1109/ISVLSI.2011.38

  44. Ye Y, Wang Z, Yang P, Xu J, Wu X, Wang X, Nikdast M, Wang Z, Duong LH (2014) System-level modeling and analysis of thermal effects in wdm-based optical networks-on-chip. IEEE Trans Comput Aided Des Integr Circuits Syst 33(11):1718–1731

    Article  Google Scholar 

  45. Zhu S, Lo GQ (2015) Vertically-stacked multilayer photonics on bulk silicon toward three-dimensional integration. J Lightwave Technol PP(99):1. https://doi.org/10.1109/JLT.2015.2499761

Download references

Acknowledgements

This work is partially supported by the University of Aizu Competitive Research funding (CRF), Ref. P11-2016.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Michael Meyer.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Meyer, M., Okuyama, Y. & Abdallah, A.B. SAFT-PHENIC: a thermal-aware microring fault-resilient photonic NoC. J Supercomput 74, 4672–4695 (2018). https://doi.org/10.1007/s11227-018-2463-x

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-018-2463-x

Keywords

Navigation