Skip to main content

Advertisement

Log in

Periodic learning-based region selection for energy-efficient MLC STT-RAM cache

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

The emerging multi-level cell (MLC) spin-transfer torque RAM (STT-RAM) is becoming one of the most promising candidates to replace SRAM as on-chip last-level caches. Compared with single-level cell (SLC) STT-RAM design, MLC cache outperforms SLC cache in terms of storage capacity. However, due to the cell design constrains, MLC STT-RAM suffers from considerably long write latency and high write energy. To explore the potential benefits of MLC STT-RAM cache, this paper proposes a scheme named periodic learning-based region selection (PLRS). We first formulate the region selection problem with greedy algorithm and then profile and collect the cache access behavior through periodic learning. Finally, PLRS will determine region selection based on the behavior information. The experimental results show that PLRS reduces dynamic energy consumption by 22.7% and reduces execution time by 16.2% on average compared to conventional MLC STT-RAM, with negligible overhead.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

References

  1. Hameed F, Khan AA, Castrillon J (2018) Performance and energy-efficient design of STT-RAM last-level cache. IEEE Trans Very Large Scale Integr Syst PP(99):1–14

    Google Scholar 

  2. Park J, Yim YU (2018) Two-phase read strategy for low energy variation-tolerant STT-RAM. IEEE Trans Very Large Scale Integr Syst 26(12):2584–2590

    Article  Google Scholar 

  3. Kuan K, Adegbija T (2018) LARS: logically adaptable retention time STT-RAM cache for embedded systems. In: 2018 Design, Automation Test in Europe Conference Exhibition (DATE), pp 461–466

  4. Nasri A, Fathy M, Broumandnia A (2018) An energy-efficient 3D-stacked STT-RAM cache architecture for cloud processors: the effect on emerging scale-out workloads. J Supercomput 74(4):1547–1561

    Article  Google Scholar 

  5. Sayed N, Bishnoi R, Oboril F, Tahoori MB (2018) A cross-layer adaptive approach for performance and power optimization in STT-MRAM. In: 2018 Design, Automation Test in Europe Conference Exhibition (DATE), pp 791–796

  6. Luo H, Hu J, Shi L, Xue CJ, Zhuge Q (2016) Two-step state transition minimization for lifetime and performance improvement on MLC STT-RAM. In: Proceedings of the 53rd Annual Design Automation Conference, pp 171:1–171:6

  7. Wen W, Zhang Y, Mao M, Chen Y (2014) State-restrict MLC STT-RAM designs for high-reliable high-performance memory system. In: Proceedings of the 51st Annual Design Automation Conference, pp 35:1–35:6

  8. Zhang Y, Zhang L, Wen W, Sun G, Chen Y (2012) Multi-level cell STT-RAM: is it realistic or just a dream? In: Proceedings of the International Conference on Computer-Aided Design, pp 526–532

  9. Bi X, Mao M, Wang D, Li H (2013) Unleashing the potential of MLC STT-RAM caches. In: Proceedings of the International Conference on Computer-Aided Design, pp 429–436

  10. Hong S, Lee J, Kim S (2014) Ternary cache: three-valued MLC STT-RAM caches. In: 2014 IEEE 32nd International Conference on Computer Design (ICCD), pp 83–89

  11. Chen X, Khoshavi N, Zhou J, Huang D, DeMara R et al (2016) AOS: adaptive overwrite scheme for energy-efficient MLC STT-RAM cache. In: Proceedings of the 53rd Annual Design Automation Conference, pp 170:1–170:6

  12. Chen Y, Wong W, Li H, Koh C, Zhang Y, Wen W (2013) On-chip caches built on multilevel spin-transfer torque ram cells and its optimizations. ACM J Emerg Technol Comput Syst (JETC) 9(2):16:1–16:22

    Google Scholar 

  13. Chen Y, Wang X, Zhu W, Li H, Sun Z, Sun G, Xie Y (2010) Access scheme of multi-level cell spin-transfer torque random access memory and its optimization. In: 2010 53rd IEEE International Midwest Symposium on Circuits and Systems, pp 1109–1112

  14. Wang J, Roy P, Wong WF, Bi X, Li H (2014) Optimizing MLC-based STT-RAM caches by dynamic block size reconfiguration. In: 2014 IEEE 32nd International Conference on Computer Design (ICCD), pp 133–138

  15. Jiang L, Zhao B, Zhang Y, Yang J (2012) Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors. In: Proceedings of the 49th Annual Design Automation Conference, pp 907–912

  16. Sampaio F, Shafique M, Zatt B, Bampi S, Henkel J (2015) Approximation-aware multi-level cells STT-RAM cache architecture. In: Proceedings of the 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, pp 79–88

  17. Chen Y, Wong WF, Li H, Koh CK (2011) Processor caches built using multi-level spin-transfer torque ram cells. In: IEEE/ACM International Symposium on Low Power Electronics and Design, pp 73–78

  18. Khan SM, Tian Y, Jimenez DA (2010) Sampling dead block prediction for last-level caches. In: 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, pp 175–186

  19. Binkert N, Beckmann B, Black G, Reinhardt SK, Saidi A et al (2011) The gem5 simulator. SIGARCH Comput Archit News 39(2):1–7

    Article  Google Scholar 

  20. Muralimanohar N, Balasubramonian R, Jouppi N (2007) Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0. In: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, pp 3–14

  21. Dong X, Xu C, Jouppi N, Xie Y (2014) Nvsim: a circuit-level performance, energy, and area model for emerging non-volatile memory. In: Emerging memory technologies, pp 15–50

  22. Bienia C, Kumar S, Singh JP, Li K (2008) The parsec benchmark suite: characterization and architectural implications. In: Proceedings of the International Conference on Parallel Architecture and Compilation Techniques, pp 72–81

  23. Yin S, Lu T, Xie Z, Liu L, Wei S (2018) Bit-level disturbance-aware memory partitioning for parallel data access for MLC STT-RAM. IEEE Trans Very Large Scale Integr Syst 26(11):2345–2357

    Article  Google Scholar 

  24. Liu Z, Mao M, Liu T, Wang X, Wen W, Chen Y, Li H, Wang D, Pei Y, Ge N (2018) Trizone: a design of MLC STT-RAM cache for combined performance, energy, and reliability optimizations. IEEE Trans Comput Aided Des Integr Circuits Syst 37(10):1985–1998

    Article  Google Scholar 

  25. Jadidi A, Arjomand M, Kandemir M, Das C (2018) Performance and power-efficient design of dense non-volatile cache in CMPS. IEEE Trans Comput 67(7):1054–1061

    Article  MathSciNet  Google Scholar 

Download references

Acknowledgements

The authors would like to thank the anonymous referees for their valuable feedback and improvements to this paper. This work is supported by Natural Science Foundation of Jiangsu Province (BK20180821); Natural Science Foundation of the Higher Education Institutions of Jiangsu Province (18KJB520026, 16KJA520002); Key Research Topics of Jiangsu Education Science 13th Five-Year plan (C-a/2018/01/09); Collaborative Innovation Center of Audit Information Engineering and Technology (18CICA11); Talent Introduction Project of Nanjing Audit University; National Natural Science Foundation of China (61662002); Humanity and Social Science Foundation of Ministry of Education (19A11287009).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Fanfan Shen.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Shen, F., He, Y., Zhang, J. et al. Periodic learning-based region selection for energy-efficient MLC STT-RAM cache. J Supercomput 75, 6220–6238 (2019). https://doi.org/10.1007/s11227-019-02846-1

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-019-02846-1

Keywords

Navigation