Skip to main content
Log in

Decreasing latency considering power consumption issue in silicon interposer-based network-on-chip

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Stacking technology is an approach to improve scalability of 2D network-on-chip systems. 3D stacking technology places multiple chips vertically, while silicon chips are stacked side-by-side on a silicon interposer layer in the 2.5D stacking technology. 2.5D stacking can solve many of the 3D stacking difficulties such as thermal problem. The cores in the processing element (PE) layer must have the ability to connect together. Moreover, the connection between the processing cores and the other chips is a critical issue that should be concerned. The memory chip is one of the most important chips, integrated with the many-core chip. The network-on-chip can be extended to the interposer layer to increase the usability of the interposer layer. It is essential to have an efficient topology and deadlock-free routing algorithm to handle operations effectively and decrease delay and power consumption. In this paper, a new topology called “Balanced Mesh” and a deadlock-free routing algorithm is recommended that balances fairly the connection between different Mesh-based segments of a network. Many of interposer network topologies such as ButterDonut increase the degree of intermediate routers in the interposer layer or nodes related to other chips. Many of them cannot be easily used in the PE layer to have a uniform system. The proposed topology can be simply applied to both of many-core layer and the interposer layer to decrease delay and power consumption without any change in the degree of nodes and has lesser number of links. Our proposed topology is compared with some other topologies such as concentrated Mesh(CMesh) and ButterDount. Simulation results show that our proposed topology can improve the system efficiency with lesser number of links. Using our proposed topology in both layers achieves 13% improvement in network latency compared with using Mesh in the PE layer and ButterDonut in the interposer layer. Also, it achieves 12% improvement in power consumption.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Black B et al (2006) Die stacking (3D) microarchitecture. In: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society

  2. Cong J, Zhang Y (2006) Thermal-aware physical design flow for 3-D ICs. In: Proceedings of 23rd International VLSI Multilevel Interconnection Conference

  3. Okoro C et al (2007) Analysis of the induced stresses in silicon during thermcompression Cu-Cu bonding of Cu-through-vias in 3D-SIC architecture. In: Proceedings of 57th Electronic Components and Technology Conference, 2007. IEEE

  4. Athikulwongse K et al (2010) Stress-driven 3D-IC placement with TSV keep-out zone and regularity study. In: Proceedings of the International Conference on Computer-Aided Design. IEEE Press

  5. Black B (2013) Die stacking is happening. In: International Symposium on Microarchitecture, Davis, CA

  6. Fotouhi P, Werner S, Proietti R, Xiao X, Yoo SB (2019) Enabling scalable disintegrated computing systems With AWGR-Based 2.5D interconnection networks. Optical Society of America

  7. Thadesar PA, Jo PK, Bakir MS (2019) Interconnection technology innovations in 2.5D integrated electronic systems. In: Advances in Embedded and Fan-Out Wafer-Level Packaging Technologies, Wiley

  8. Deng Y, Maly WP (2001) Interconnect characteristics of 2.5-D system integration scheme. In: Proceedings of the 2001 International Symposium on Physical Design. ACM

  9. Kim J, Murali G, Park H, Qin E, Kwon H, Chekuri VC, Dasari N, Singh A, Lee M, Torun HM, Roy K, Swaminathan M, Mukhopadhyay S, Krishna T, Lim SK (2019) Architecture, chip, and package co-design flow for 2.5D IC design enabling heterogeneous IP reuse. In: 56th ACM/EDAC/IEEE Design Automation Conference(DAC)

  10. Saban KJX (2011) Xilinx stacked silicon interconnect technology delivers breakthrough FPGA capacity, bandwidth, and power efficiency. In: White Paper vol 1, pp 1–10

  11. Kuo WS, Zhang SH, Mak WK, Sun R, Leow YK (2018) Pin assignment optimization for multi-2.5D FPGA-based systems. Association for Computing Machinery, ACM

  12. Coskun A, Eris F, Joshi A, Kahng AB, Ma Y, Srinivas V (2018) A cross-layer methodology for design and optimization of networks in 2.5D systems. Association for Computing Machinery, ACM

  13. Deng YS, Maly W (2004) 2.5 D system integration: a design driven system implementation schema. In: Proceedings of the 2004 Asia and South Pacific Design Automation Conference. IEEE Press

  14. Ho Y-K, Chang Y-W (2013) Multiple chip planning for chip-interposer codesign. In: Proceedings of the 50th Annual Design Automation Conference. ACM

  15. Jerger NE et al (2014) Noc architectures for silicon interposer systems: Why pay for more wires when you can get them (from your interposer) for free? In: Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society

  16. Lenihan TG, Matthew L, Vardaman EJ (2013) Developments in 2.5 D: the role of silicon interposers. In: 2013 IEEE 15th Electronics Packaging Technology Conference (EPTC 2013), IEEE

  17. Bellenger S, Omnès L, Tenailleau J-RJC (2014) France, IPDiA white paper silicon interposers_260214, silicon interposers with integrated passive devices: ultra-miniaturized solution using 2.5D packaging platform

  18. Loh GH et al (2015) Interconnect-memory challenges for multi-chip, silicon interposer systems. In: Proceedings of the 2015 International Symposium on Memory Systems. ACM

  19. Thonnart Y, Zid M (2014) Technology assessment of silicon interposers for manycore SoCs: active, passive, or optical? In: NOCS

  20. Santarini MJXJ (2011) Stacked and loaded: Xilinx SSI, 28-Gbps I/O Yield Amazing FPGAs, vol 74, pp 8–13

  21. Balfour J, Dally WJ (2014) Design tradeoffs for tiled CMP on-chip networks. In: ACM International Conference on Supercomputing 25th Anniversary Volume. ACM

  22. Li C, Wang Z, Wang L, Ma S, Guo Y (2016) Overcoming and Analyzing the bottleneck of interposer network in 2.5D NoC architecture. Springer

  23. PD SM, Lin J, Zhu S, Yin Y, Liu X, Huang X, Song C, Zhang W, Yan M, Yu Z, Yu H (2017) A scalable network-on-chip microprocessor with 2.5D integrated memory and accelerator. In: IEEE Transactions on Circuits and Systems

  24. Wentzlaff D et al (2007) On-chip interconnection architecture of the tile processor. IEEE Micro 5:15–31

    Article  Google Scholar 

  25. Yoon YJ et al (2010) Virtual channels vs. multiple physical networks: a comparative analysis. In: Proceedings of the 47th Design Automation Conference. ACM

  26. Volos S et al (2012) CCNoC: specializing on-chip interconnects for energy efficiency in cache-coherent servers. In: 2012 Sixth IEEE/ACM International Symposium on Networks on Chip (NoCS). IEEE

  27. Kannan A, Jerger NE, Loh GH (2015) Enabling interposer-based disintegration of multi-core processors. In: 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE

  28. Ebrahimi M, Daneshtalab MJASCAN (2017) EbDa: A new theory on design and verification of deadlock-free interconnection networks. ACM SIGARCH Comput Archit N 45(2):703–715

    Article  Google Scholar 

  29. Jiang N, Becker DU, Michelogiannakis G, Balfour J, Towles B, Shaw DE, Kim J, Dally WJ (2013) A detailed and flexible cycle-accurate network-on-chip simulator. In: Proceedings of the 2013 IEEE International Symposium on Performance Analysis of Systems and Software

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Akram Reza.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Dadashi, S., Reza, A., Reshadi, M. et al. Decreasing latency considering power consumption issue in silicon interposer-based network-on-chip. J Supercomput 75, 7646–7664 (2019). https://doi.org/10.1007/s11227-019-02964-w

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-019-02964-w

Keywords

Navigation