Skip to main content

Advertisement

Log in

Optimal port allocation scheme for deflection-routed networks-on-chip

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Deflection routing is considered a promising approach for improving the energy efficiency of networks-on-chip (NoCs) because of its hardware simplicity and minimal buffer requirements. The major weakness of the deflection routing is poor performance under high network load because of excessive flit deflections that occur in routers as a result of resolving frequent port conflicts. In this paper, we propose a design solution for optimal port allocation in permutation-network-based deflection NoC routers. The proposed solution requires 3.3× fewer hardware resources compared to a naïve implementation of the optimal port allocation scheme while providing 38.6% higher network throughput than a commonly used suboptimal port allocation scheme. We also present a novel low-cost suboptimal port allocator, which improves the network throughput by 17.4% over the conventional port allocator with comparable hardware complexity.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

References

  1. Dally WJ, Towles BP (2004) Principles and practices of interconnection networks. Elsevier, Amsterdam

    Google Scholar 

  2. Duato J, Yalamanchili S, Ni L (2003) Interconnection networks. Morgan Kaufmann

    Google Scholar 

  3. Agarwal A, Iskander C, Shankar R (2009) Survey of network on chip (NoC) architectures & contributions. J Eng Comput Archit 3(1):21–27

    Google Scholar 

  4. Bjerregaard T, Mahadevan S (2006) A survey of research and practices of network-on-chip. ACM Comput Surv (CSUR) 38(1):1-es. https://doi.org/10.1145/1132952.1132953

    Article  Google Scholar 

  5. Gratz P, Kim C, McDonald R, Keckler SW, Burger D (2006) Implementation and evaluation of on-chip network architectures. In: 2006 International Conference on Computer Design, pp 477–484. IEEE. https://doi.org/10.1109/ICCD.2006.4380859

  6. Cai Y, Mai K, Mutlu O (2015) Comparative evaluation of FPGA and ASIC implementations of bufferless and buffered routing algorithms for on-chip networks. In: Sixteenth International Symposium on Quality Electronic Design, pp 475–484. IEEE. https://doi.org/10.1109/ISQED.2015.7085472

  7. Kumary A, Kunduz P, Singhx AP, Pehy LS, Jhay NK (2007) A 4.6 Tbits/s 3.6 GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. In: 2007 25th International Conference on Computer Design, pp 63–70. IEEE. DOI: https://doi.org/10.1109/ICCD.2007.4601881

  8. Moscibroda T, Mutlu O (2009) A case for bufferless routing in on-chip networks. In: Proceedings of the 36th annual international symposium on Computer architecture, pp 196–207. DOI: https://doi.org/10.1145/1555754.1555781

  9. Ausavarungnirun R, Fallin C, Yu X, Chang KKW, Nazario G, Das R, Loh GH, Mutlu O (2014) Design and evaluation of hierarchical rings with deflection routing. In: 2014 IEEE 26th International Symposium on Computer Architecture and High Performance Computing, pp 230–237. IEEE. https://doi.org/10.1109/SBAC-PAD.2014.31

  10. Runge A (2015) Fault-tolerant network-on-chip based on fault-aware flits and deflection routing. In: Proceedings of the 9th International Symposium on Networks-on-Chip, pp 1–8. https://doi.org/10.1145/2786572.2786585

  11. Kapre N, Gray J (2017) Hoplite: a deflection-routed directional torus noc for FPGAs. ACM Trans Reconfigurable Technol Syst (TRETS) 10(2):1–24. https://doi.org/10.1145/3027486

    Article  Google Scholar 

  12. Sun M, Liu Q, Yan B, Wang X (2019) Minimally buffered router and deflection routing algorithm for 3D mesh NoC. In: Patnaik S, Jain V (eds) Recent Developments in Intelligent Computing, Communication and Devices. Springer, Singapore, pp 515–522. https://doi.org/10.1007/978-981-10-8944-2_60

    Chapter  Google Scholar 

  13. Xiang X, Sigdel P, Tzeng NF (2019) Bufferless network-on-chips with bridged multiple subnetworks for deflection reduction and energy savings. IEEE Trans Comput 69(4):577–590. https://doi.org/10.1109/TC.2019.2959307

    Article  MATH  Google Scholar 

  14. Xiang XY, Tzeng NF (2016) Deflection containment for bufferless network-on-chips. In: 2016 IEEE International Parallel and Distributed Processing Symposium (IPDPS), pp 113–122. IEEE. https://doi.org/10.1109/IPDPS.2016.17

  15. Fallin C, Nazario G, Yu X, Chang K, Ausavarungnirun R, Mutlu O (2012) MinBD: Minimally-buffered deflection routing for energy-efficient interconnect. In: 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, pp 1–10. IEEE. https://doi.org/10.1109/NOCS.2012.8

  16. Jose J, Nayak B, Kumar K, Mutyam M (2013) DeBAR: deflection based adaptive router with minimal buffering. In: 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp 1583–1588. IEEE. https://doi.org/10.7873/DATE.2013.322

  17. Stojanovic IZ, Jovanovic MD, Lj DG (2015) Dual-mode inter-router communication channel for deflection-routed networks-on-chip. J Supercomput 71(7):2597–2613. https://doi.org/10.1007/s11227-015-1407-y

    Article  Google Scholar 

  18. Stojanovic IZ, Lj DG (2016) In-channel misrouting suppression technique for deflection-routed networks on chip. Facta Univ Ser Electron Energ 29(2):309–323. https://doi.org/10.2298/FUEE1602309S

    Article  Google Scholar 

  19. Fallin C, Craik C, Mutlu O (2011) CHIPPER: A low-complexity bufferless deflection router. In: 2011 IEEE 17th International Symposium on High Performance Computer Architecture, pp 144–155. IEEE, 2011. https://doi.org/10.1109/HPCA.2011.5749724

  20. Runge A, Kolla R (2016) Consideration of the flit size for deflection routing based network-on-chips. In: Proceedings of the 1st International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, pp 1–6. https://doi.org/10.1145/2857058.2857060

  21. Li Y, Mei K, Liu Y, Zheng N, Xu Y (2014) LDBR: low-deflection bufferless router for cost-sensitive network-on-chip design. Microprocess Microsyst 38(7):669–680. https://doi.org/10.1016/j.micpro.2014.07.007

    Article  Google Scholar 

  22. Feng C, Liao Z, Zhao Z, He X (2018) A low-overhead multicast bufferless router with reconfigurable Banyan network. In: 2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), pp 1–8. IEEE. https://doi.org/10.1109/NOCS.2018.8512163

  23. Kunthara RG, James RK, Sleeba SZ, Jose J (2018) ReDC: Reduced deflection CHIPPER router for bufferless NoCs. In: 2018 8th International Symposium on Embedded Computing and System Design (ISED), pp 204–209. IEEE. https://doi.org/10.1109/ISED.2018.8704012

  24. Stojanovic I, Jovanovic M, Djosic S, Djordjevic G (2017) Optimized port allocation algorithm for deflection router with minimal buffering‟. In: LII International Scientific Conference on Information, Communication and Energy Systems and Technologies (ICEST 2017), pp 182–185

Download references

Acknowledgements

This work was supported by the Ministry of Education, Science and Technological Development of the Republic of Serbia.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Igor Stojanovic.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Stojanovic, I., Jovanovic, M., Djosic, S. et al. Optimal port allocation scheme for deflection-routed networks-on-chip. J Supercomput 77, 14161–14179 (2021). https://doi.org/10.1007/s11227-021-03850-0

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-021-03850-0

Keywords

Navigation