Skip to main content
Log in

Hybrid learning scenario path selection and abstraction framework for smart verification of complex SoCs

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

The universal verification methodology (UVM) testbench utilizes the bus interface to access the design under verification (DUV) and registers using constraint random access. The UVM testbench is not able to perform cross-platform reusable verification. Alone UVM libraries are non-efficient for cross-platform migration, and individual C package modules are prone to miss corner cases with lower functional coverage. Thus, conventional UVM testbench needs a C test module for target-specific implementation. This work targets the implementation of hybrid UVM-C testbench architecture to potentially implement the auto-survivor path generation on higher integration. This paper proposes the UVM-C testbench model is capable of creating reusable test cases for design and registers with cross-platform communication. The new automation helps in the extraction of input parameters to implement script-based auto-covergroups and basic assertions to improvise functional coverage. The proposed UVM-C model implementation reduces the processing time, module capture value, and simulation time compared to state-of-the-art SystemVerilog and UVM verification methodologies. The method refines the processing time and simulation time by 16.41% and 15.23% as compared to SystemVerilog, while the improvement is 7.89% and 7.44% from UVM. The work also provides an average simulation instance value improvement of 11 per module per testbench run as compared to sole conventional UVM testbench.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16

Similar content being viewed by others

References

  1. Lv X-H, Zhang Y-T, Cao Z-S, Fei W, Ling L (2019) A reusable functional simulation verification method based on UVM for FPGA products in DAS dong. In: Yang X, Xia H, Gao F, Chen W, Liu Z, Pengfei G (eds) Nuclear power plants innovative technologies for instrumentation and control systems. Singapore, Springer Singapore, pp 17–27

  2. El-Ashry S, Khamis M, Ibrahim H, Shalaby A, Abdelsalam M, El-Kharashi MW (2020) On error injection for NoC platforms: a UVM-based generic verification environment. IEEE Trans Comput Aided Des Integ Circ Syst 39(5):1137–1150. https://doi.org/10.1109/TCAD.2019.2908921

  3. Fu Y, Huang K, Zhang L, Fan (2020) A system function verification flow for mixed-signal SoC Liu. In: 2020 7th international forum on electrical engineering and automation (IFEEA), pp 738–741. https://doi.org/10.1109/IFEEA51475.2020.00157

  4. Gay Gregory, Staats Matt, Whalen Michael, Mats PE (2015) The risks of coverage-directed test case generation heimdahl. IEEE Trans Softw Eng 41(8):803–819. https://doi.org/10.1109/TSE.2015.2421011

    Article  Google Scholar 

  5. UVM 1.2 (Universal Verification Methodology). [online] http://www.accellera.org/downloads/standards/uvm

  6. Caba J, Rincón F, Barba J, de la Torre JA, Carlos J (2020) FPGA-based solution for on-board verification of hardware modules using HLS López. Electronics 9(12). https://doi.org/10.3390/electronics9122024

  7. IEEE standard for systemverilog–unified hardware design, specification, and verification language (2018) IEEE Std 1800-2017 (Revision of IEEE Std 1800-2012), pp 1–1315. https://doi.org/10.1109/IEEESTD.2018.8299595

  8. Syafalni I, Surantha N, Lam DK, Sutisna N, Nagao Y, Wakasugi K, Tongxin Y, Ochi H, Tsuchiya T (2016)Assertion based verification of industrial WLAN system. In: 2016 IEEE international symposium on circuits and systems (ISCAS), pp 982-985. https://doi.org/10.1109/ISCAS.2016.7527407

  9. Biswal BP, Singh A, Singh B (2017) Cache coherency controller verification IP using systemVerilog assertions (SVA), and universal verification methodologies (UVM). In: 2017 11th international conference on intelligent systems and control (ISCO), pp 21–24. https://doi.org/10.1109/ISCO.2017.7855984

  10. Shi X, Nicolici N (2016) Generating cyclic-random sequences in a constrained space for in-system validation. IEEE Trans Comput 65(12):3676–3686

    MathSciNet  MATH  Google Scholar 

  11. Wu B, Yang C, Huang C (2014) A high-throughput and arbitrary-distribution pattern generator for the constrained random verification. IEEE Trans Comput Aided Des Integ Circ Syst 33(1):139–152

    Article  Google Scholar 

  12. Zhaohui H, Pierres A, Shiqing H, Fang C, Royannez P, See EP, Hoon YL (2012) Practical, efficient SOC verification flow by reusing IP testcase, and testbench. In: 2012 International SoC Design Conference (ISOCC), pp 175–178. https://doi.org/10.1109/ISOCC.2012.6407068

  13. Li X, Wang Y, Tang X, Hu Y, Li D, Gan J, Feng W, Longlong (2020) Design He, and verification of MCU chip bootloader. In: 2020 IEEE 9th Joint International Information Technology and Artificial Intelligence Conference (ITAIC), vol 9, pp 395–402. https://doi.org/10.1109/ITAIC49862.2020.9339147

  14. Mefenza M, Yonga F, Bobda C (2014) Automatic UVM environment generation for assertion-based, and functional verification of systemC designs. In: 2014 15th international microprocessor test and verification workshop, pp 16–21. https://doi.org/10.1109/MTV.2014.10

  15. Gabe (2016) Accellera’s DVCon Conferences Focus on the Community of Practicing Engineers Moretti. IEEE Des Test 33(3):125–132. https://doi.org/10.1109/MDAT.2016.2542202

  16. Das S, Mohanty R, Dasgupta P, Chakrabarti PP (2006) Synthesis of system verilog assertions. Proc Des Autom Test Eur Conf 2:1–6. https://doi.org/10.1109/DATE.2006.243776

    Article  Google Scholar 

  17. Herman P (1998) A strategy for C-based verification. In: Proceedings international verilog HDL conference and VHDL international users forum, pp 120–127. https://doi.org/10.1109/IVC.1998.660690

  18. Yun Y, Kim J, Kim N, Min B (2011) Beyond UVM for practical SoC verification. In: 2011 International SoC Design Conference, pp 158–162. https://doi.org/10.1109/ISOCC.2011.6138671

  19. Woo J, Cho YS (2016) Universal verification methodology based register test automation flow park. J Nanosci Nanotechnol 16:5316–5319. https://doi.org/10.1166/jnn.2016.12252

  20. El-Ashry S, Adel A (2018) Efficient methodology of sampling UVM RAL during simulation for SoC functional coverage. In: 2018 19th international workshop on microprocessor and SOC test and verification (MTV), pp 61–66. https://doi.org/10.1109/MTV.2018.00022

  21. Vincenzi AMR, Bachiega T, de Oliveira DG, de Souza SRS, José C (2016) The complementary aspect of automatically maldonado, and manually generated test case sets. In: Proceedings of the 7th international workshop on automating test case design, selection, and evaluation. A-TEST, New York, NY, USA. Association for Computing Machinery, pp 23–30. https://doi.org/10.1145/2994291.2994295

  22. Iliuţă I, Ţepuş C (2014) Constraint random stimuli, and functional coverage on mixed signal verification. In: 2014 International Semiconductor Conference (CAS), pp 237–240.https://doi.org/10.1109/SMICND.2014.6966446

  23. Ara K, Suzuki K (2003) A proposal for transaction-level verification with component wrapper language. In: 2003 Design, Automation and Test in Europe Conference and Exhibition, pp 82–87 (suppl). https://doi.org/10.1109/DATE.2003.1186676

  24. Marek (2019) Metric-driven verification methodology with regression management cieplucha. J Electron Test 35. https://doi.org/10.1007/s10836-019-05777-0

  25. Sharma G, Bhargava L, Kumar V (2018) Automated coverage register access technology on UVM framework for advanced verification. In: 2018 IEEE international symposium on circuits and systems (ISCAS), pp 1–4. https://doi.org/10.1109/ISCAS.2018.8351413

  26. Ara K, Suzuki K (2005) Fine grained transaction-level verification using a variable transactor for improved coverage at the signal level. IEEE Trans Comput Aided Des Integ Circ Syst 24(8):1234–1240. https://doi.org/10.1109/TCAD.2005.850840

    Article  Google Scholar 

  27. Trummer C, Kirchsteiger CM, Steger C, Weiß R, Pistauer M, Dalton D (2010) Automated simulation-based verification of power requirements for Systems-on Chips. In: 13th IEEE symposium on design and diagnostics of electronic circuits and systems, pp 8–11. https://doi.org/10.1109/DDECS.2010.5491829

  28. Silveira G, Brito A, Oliveira H, Elmar M (2012) Open SystemC Simulator with support for power gating design. Int J Reconfig Comput 8. https://doi.org/10.1155/2012/793190

  29. Mischkalla F, Mueller W (2014) Advanced SoC virtual prototyping for system-level power planning, and validation. In: 2014 24th International workshop on power and timing modeling, optimization and simulation (PATMOS), pp 1–8. https://doi.org/10.1109/PATMOS.2014.6951882

  30. Forgács I, Antonia (1997) Feasible test path selection by principal slicing Bertolino. SIGSOFT Softw Eng Notes 378–394. https://doi.org/10.1145/267896.267922, 22(6)

  31. fitzpatrick T verification academy advance UVM understanding by mentor graphics UVM 1.2 (Universal Verification Methodology).[online] https://verificationacademy.com

  32. UVM/OVM (2015) cookbook. https://verificationacademy.com/cookbook (as onjanuary, 2015)

  33. Bouhadiba T, Moy M, Maraninchi F (2013) System level modeling of energy in TLM for early validation of power, and thermal management. In: 2013 Design, Automation Test in Europe Conference Exhibition (DATE), pp 1609–1614. https://doi.org/10.7873/DATE.2013.327

  34. Georgoulopoulos N, Giannou I, Hatzopoulos A (2018) UVM-based verification of a mixed-signal design using systemVerilog. In: 2018 28th international symposium on power and timing modeling, optimization and simulation (PATMOS), pp 97–102. https://doi.org/10.1109/PATMOS.2018.8464148

  35. Hamed EM, Salah K, Madian AH, Radwan AG (2018) An automated lightweight UVM tool. In: 2018 30th International Conference on Microelectronics (ICM), pp 136–139. https://doi.org/10.1109/ICM.2018.8704037

  36. Macko D, Jelemenská K, Čičák P (2016) Early stage verification of power-management specification in low-power systems design. In: 2016 IEEE 19th international symposium on design and diagnostics of electronic circuits systems (DDECS), pp 1–6. https://doi.org/10.1109/DDECS.2016.7482449

  37. Mueller W, Ruf J, Hoffmann D, Gerlach J, Kropf T, Rosenstiehl W (2001) The simulation semantics of SystemC. In: Proceedings Design, Automation and Test in Europe. Conference and Exhibition, pp 64–70. https://doi.org/10.1109/DATE.2001.915002

Download references

Acknowledgements

This work is supported by the annual contingency grant from the Ministry of Electronics and Information Technology (Meity), Government of India, under grant VISPHD-MEITY-1498.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Gaurav Sharma.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sharma, G., Bhargava, L. & Kumar, V. Hybrid learning scenario path selection and abstraction framework for smart verification of complex SoCs. J Supercomput 78, 6207–6233 (2022). https://doi.org/10.1007/s11227-021-04117-4

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-021-04117-4

Keywords

Navigation