Abstract
System-on-chip designs for high-performance computing systems widely use network-on-chip (NoC) technology. The critical metrics such as latency, throughput, and total energy directly impact the performance of NoCs. However, a cycle-accurate simulator takes considerable execution time for different values of packet injection rate. This paper proposes a machine learning approach with various regression models to predict the essential NoC performance metrics. The work explores regression models, including decision trees, K-nearest neighbors, random forest, polynomial regression, and support vector regression, alongside baseline linear regression for 2D and 3D NoC-based architectures. Experiment results demonstrate high accuracy, ranging from 96.72 to 99.96% for 2D and up to 99.99% for 3D NoC-based architectures. Root-mean-squared error values vary from 0.2792 to 0.03143 for 2D NoC and 0.1857 to 0.0063 for 3D NoC architecture. The proposed framework achieves impressive speedup, reaching up to 4678.8X and 46152.8X for system sizes 8\(\times \)8\(\times \)4 and 10\(\times \)10\(\times \)20, respectively.


















Similar content being viewed by others
References
Xu C, Liu Y, Yang Y (2019) Srnoc: an ultra-fast configurable fpga-based noc simulator using switch-router architecture. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10):2798–2811
Ogras UY, Bogdan P, Marculescu R (2010) An analytical approach for network-on-chip performance analysis. IEEE Trans. Comput. Aided. Des. Integr. Circuits Syst. 29(12):2001–2013
Lee HG, Chang N, Ogras UY, Marculescu R (2008) On-chip communication architecture exploration: a quantitative evaluation of point-to-point, bus, and network-on-chip approaches. ACM Trans. Des. Autom. Electron. Syst. (TODAES) 12(3):1–20
Dally WJ, Towles B (2001) Route packets, not wires: on-chip inteconnection networks. In: Proceedings of the 38th Annual Design Automation Conference, pp. 684–689
Hemani A, Jantsch A, Kumar S, Postula A, Oberg J, Millberg M, Lindqvist D (2000) Network on chip: An architecture for billion transistor era. In: Proceeding of the IEEE NorChip Conference, vol. 31, p. 0
Jantsch A, Tenhunen H et al (2003) Netw. Chip, vol 396. Kluwer, Boston
Benini L, De Micheli G (2002) Networks on chips: a new soc paradigm. Computer 35(1):70–78
Mazumdar S, Scionti A, Zuckerman S, Portero A (2023) Noc-based hardware software co-design framework for dataflow thread management. J. Supercomput. 79(16):1–38
Silva J, Kreutz M, Pereira M, Costa-Abreu MD (2019) An investigation of latency prediction for noc-based communication architectures using machine learning techniques. J. Supercomput. 75:7573–7591
Catania V, Mineo A, Monteleone S, Palesi M, Patti D (2016) Cycle-accurate network on chip simulation with noxim. ACM Trans. Model. Comput. Simul. (TOMACS) 27(1):1–25
Access Lab, O-CCG Access noxim. http://access.ee.ntu.edu.tw/noxim/index.html
Jiang N, Becker DU, Michelogiannakis G, Balfour J, Towles B, Shaw DE, Kim J, Dally WJ (2013) A detailed and flexible cycle-accurate network-on-chip simulator. In: 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp. 86–96. IEEE
Jain L, Al-Hashimi B, Gaur M, Laxmi V, Narayanan A (2007) Nirgam: a simulator for noc interconnect routing and application modeling. In: Design, Automation and Test in Europe Conference, pp. 16–20. IEEE
Prellezo J, Puente V, Gregorio J, Beivide R (1998) Sicosys: Un simulador de redes de interconexión para computadores paralelos. In: (in Spanish) Actas de las IX Jornadas de Paralelismo
Pande PP, Grecu C, Jones M, Ivanov A, Saleh R (2005) Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans. Comput. 54(8):1025–1040
Kiasari AE, Jantsch A, Lu Z (2013) Mathematical formalisms for performance evaluation of networks-on-chip. ACM Comput. Surv. (CSUR) 45(3):1–41
Bhowmik B, Hazarika P, Kale P, Jain S (2021) Ai technology for noc performance evaluation. IEEE Trans. Circuits Syst. II Express Briefs 68(12):3483–3487
Qian Z-L, Juan D-C, Bogdan P, Tsui C-Y, Marculescu D, Marculescu R (2015) A support vector regression (svr)-based latency model for network-on-chip (noc) architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(3):471–484
Kumar A, Talawar B (2018) Machine learning based framework to predict performance evaluation of on-chip networks. In: 2018 Eleventh International Conference on Contemporary Computing (IC3), pp. 1–6. IEEE
Das S, Doppa JR, Pande PP, Chakrabarty K (2016) Energy-efficient and reliable 3d network-on-chip (noc): Architectures and optimization algorithms. In: 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–6. IEEE
Das S, Doppa JR, Kim DH, Pande PP, Chakrabarty K (2015) Optimizing 3d noc design for energy efficiency: A machine learning approach. In: 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 705–712. IEEE
Kumar A, Talawar B (2019) A support vector regression-based approach to predict the performance of 2d & 3d on-chip communication architectures. In: 2019 International Conference on Smart Systems and Inventive Technology (ICSSIT), pp. 35–39. IEEE
Asad A, Ozturk O, Fathy M, Jahed-Motlagh MR (2017) Optimization-based power and thermal management for dark silicon aware 3d chip multiprocessors using heterogeneous cache hierarchy. Microprocess Microsyst. 51:76–98
Garg S, Turakhia Y, Marculescu D (2017) Heterogeneous dark silicon chip multi-processors: Design and run-time management. The Dark Side of Silicon: Energy Efficient Computing in the Dark Silicon Era, 95–122
Qian Z, Juan D-C, Bogdan P, Tsui C-Y, Marculescu D, Marculescu R (2013) Svr-noc: A performance analysis tool for network-on-chips using learning-based support vector regression model. In: 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 354–357. IEEE
Kumar A, Talawar B (2020) Upm-noc: learning based framework to predict performance parameters of mesh architecture in on-chip networks. Springer, Singapore, pp 723–733
Li Y, Zhou P (2023) Fast and accurate noc latency estimation for application-specific traffics via machine learning. Express Briefs, IEEE Transactions on Circuits and Systems II
Li F, Wang Y, Liu C, Li H, Li X (2022) Noception: a fast ppa prediction framework for network-on-chips using graph neural network. In: 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1035–1040. IEEE
Tyagi S, Maheshwari P, Agarwal A, Avasthi V (2017) Exploring 3d network-on-chip architectures and challenges. In: 2017 International Conference on Computer and Applications (ICCA), pp. 97–101. IEEE
Somasundaram K, Plosila J, Viswanathan N (2014) Deadlock free routing algorithm for minimizing congestion in a Hamiltonian connected recursive 3d-nocs. Microelectron. J. 45(8):989–1000
Ben Ahmed A, Ben Abdallah A (2013) Architecture and design of high-throughput, low-latency, and fault-tolerant routing algorithm for 3d-network-on-chip (3d-noc). J. Supercomput. 66:1507–1532
Kumar S, Jantsch A, Soininen J-P, Forsell M, Millberg M, Oberg J, Tiensyrja K, Hemani A (2002) A network on chip architecture and design methodology. In: Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002, pp. 117–124. IEEE
Ghosh A, Roy AP, Patra R, Mondal HK (2021) Designing efficient noc-based neural network architectures for identification of epileptic seizure. SN Comput. Sci. 2:1–12
Joseph VR (2022) Optimal ratio for data splitting. Stat. Anal. Data Min. ASA Data Sci. J. 15(4):531–538
Berrar D, et al (2019) Cross-Validation
Wang D, Xu J (2019) On sparse linear regression in the local differential privacy model. In: International Conference on Machine Learning, pp. 6628–6637. PMLR
Ho TK (1995) Random decision forests. In: Proceedings of 3rd International Conference on Document Analysis and Recognition, vol. 1, pp. 278–282. IEEE
Ho TK (1998) The random subspace method for constructing decision forests. IEEE Trans. Pattern Anal. Mach Intell. 20(8):832–844
Géron A (2022) Hands-on Machine Learning with Scikit-Learn, Keras, and TensorFlow. O’Reilly Media Inc, CA
Vapnik V (1999) The Nature of Statistical Learning Theory. Springer, USA
Smola AJ, Schölkopf B (2004) A tutorial on support vector regression. Stat. Comput. 14:199–222
Sahin E, Lattimer BY, Duarte JP (2023) Assessing spill fire characteristics through machine learning analysis. Ann. Nucl. Energy 192:109961
Ganguly A, Chang K, Deb S, Pande PP, Belzer B, Teuscher C (2010) Scalable hybrid wireless network-on-chip architectures for multicore systems. IEEE Trans. Comput. 60(10):1485–1502
Jotwani R, Sundaram S, Kosonocky S, Schaefer A, Andrade V, Constant G, Novak A, Naffziger S (2010) An x86-64 core implemented in 32nm soi cmos. In: 2010 IEEE International Solid-State Circuits Conference-(ISSCC), pp. 106–107. IEEE
Author information
Authors and Affiliations
Corresponding author
Additional information
Publisher's Note
Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.
Rights and permissions
Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.
About this article
Cite this article
Patra, R., Maji, P., Srivastava, D.S. et al. Machine learning-driven performance assessment of network-on-chip architectures. J Supercomput 80, 24483–24519 (2024). https://doi.org/10.1007/s11227-024-06340-1
Accepted:
Published:
Issue Date:
DOI: https://doi.org/10.1007/s11227-024-06340-1