Skip to main content
Log in

Schedulability analysis in hard real-time systems under thermal constraints

  • Published:
Real-Time Systems Aims and scope Submit manuscript

Abstract

In this paper, we study thermal-constrained hard real-time systems, where real-time guarantees must be met without exceeding safe temperature levels within the processor. Dynamic speed scaling is one of the major techniques to manage power so as to maintain safe temperature levels. As example, we adopt a reactive speed control technique in our work. We design an extended busy-period analysis methodology to perform schedulability analysis for general task arrivals under reactive speed control with First-In-First-Out (FIFO), Static-Priority (SP), and Earliest-Deadline-First (EDF) scheduling. As a special case, we obtain a closed-form formula for the worst-case response time of jobs under the leaky-bucket task arrival model. Our data show how reactive speed control can decrease the worst-case response time of tasks in comparison with any constant-speed scheme.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  • Advanced configuration and power interface specification (2010) http://www.acpi.info/spec.htm. The last access time is July 2010

  • Semiconductor Industry Association (2005) 2005 international technology roadmap for semiconductors. http://public.itrs.net. The last access time is July 2010

  • Bansal N, Kimbrel T, Pruhs K (2005) Dynamic speed scaling to manage energy and temperature. In: IEEE symposium on foundations of computer science

  • Bansal N, Pruhs K (2005) Speed scaling to manage temperature. In: Symposium on theoretical aspects of computer science

  • Brooks D, Martonosi M (2001) Dynamic thermal management for high-performance microprocessors. In: The 7th international symposium on high-performance computer architecture, pp 171–182

  • Chantem T, Dick RP, Hu XS (2008) Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. In: Design, automation and test in Europe

  • Chen J-J, Hung C-M, Kuo T-W (2007) On the minimization of the instantaneous temperature for periodic real-time tasks. In: IEEE real-time and embedded technology and applications symposium

  • Cohen A, Finkelstein L, Mendelson A, Ronen R, Rudoy D (2003) On estimating optimal performance of CPU dynamic thermal management. In: Computer architecture letters

  • Cohen A, Finkelstein L, Mendelson A, Ronen R, Rudoy D (2006) On estimating optimal performance of CPU dynamic thermal management. In: Computer architecture letters

  • Dhodapkar A, Lim CH, Cai G, Daasch WR (2000) TEMPEST: a thermal enabled multi-model power/performance estimator. In: Workshop on power-aware computer systems, ASPLOS-IX

  • Ferreira AP, Oh J, Moss D (2006) Toward thermal-aware load-distribution for real-time server. In: IEEE real-time systems symposium work-in-progress session

  • Gochman S, Mendelson A, Naveh A, Rotem E (2006) Introduction to Intel Core Duo processor architecture. Intel Technol J 10(2):89–97

    Google Scholar 

  • Liu J (2000) Real-time systems. Prentice Hall, New York

    Google Scholar 

  • Rabaey JM, Chandrakasan A, Nikolic B (2002) Digital integrated circuits, 2nd edn. Prentice Hall, New York

    Google Scholar 

  • Rao R, Vrudhula S, Chakrabarti C, Chang N (2006) An optimal analytical solution for processor speed control with thermal constraints. In: International symposium on low power electronics and design. ACM Press, New York

    Google Scholar 

  • Rotem E, Naveh A, Moffie M, Mendelson A (2004) Analysis of thermal monitor features of the Intel Pentium M processor. In: Workshop on temperature-aware computer systems

  • Sanchez H, Kuttanna B, Olson T, Alexander M, Gerosa G, Philip R, Alvarez J (1997) Thermal management system for high performance powerpc microprocessors. In: IEEE international computer conference

  • Skadron K, Stan M, Huang W, Velusamy S, Sankaranarayanan K, Tarjan D (2003) Temperature-aware microarchitecture: extended discussion and results. Technical report CS-2003-08, Department of Computer Science, University of Virginia

  • Srinivasan J, Adve SV (2003) Predictive dynamic thermal management for multimedia applications. In: International conference on supercomputing

  • Tiwari V, Singh D, Rajgopal S, Mehta G, Patel R, Baez F (1998) Reducing power in high-performance microprocessors. In: Design automation conference, pp 732–737

  • Wang S, Bettati R (2006) Delay analysis in temperature-constrained hard real-time systems with general task arrivals. In: IEEE real-time systems symposium

  • Wang S, Bettati R (2008) Reactive speed control in temperature-constrained real-time systems. Real-Time Syst J 39(1–3), 658–671

    Google Scholar 

  • Wu J, Liu J, Zhao W (2005) On schedulability bounds of static priority schedulers. In: IEEE real-time and embedded technology and applications symposium

  • Xu R, Zhu D, Rusu C, Melhem R, Moss D (2005) Energy efficient policies for embedded clusters. In: ACM SIGPLAN/SIGBED conference on languages, compilers, and tools for embedded systems

  • Zhang S, Chatha KS (2007) Approximation algorithm for the temperature-aware scheduling problem. In: IEEE/ACM international conference on computer-aided design

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Shengquan Wang.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wang, S., Ahn, Y. & Bettati, R. Schedulability analysis in hard real-time systems under thermal constraints. Real-Time Syst 46, 160–188 (2010). https://doi.org/10.1007/s11241-010-9104-7

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11241-010-9104-7

Keywords

Navigation