Skip to main content

Advertisement

Log in

Application Domain Specific Embedded FPGAs for Flexible ISA-Extension of ASIPs

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

This paper presents a novel architecture combining an application specific instruction set processor (ASIP) core and an application domain specific embedded FPGAs (eFPGAs) used as flexible accelerator for the ASIP. The eFPGA is based on a parametrisable architecture template optimised for arithmetic oriented applications. It was designed as a physically optimised VLSI-macro using a flexible design methodology also sketched in this paper. Quantitative comparisons of the eFPGA with a commercial standard FPGA show significant improvements in energy, area and timing delays. Simulations of the new ASIP-eFPGA architecture have been conducted using a model based approach to evaluate its efficiency. The results show that power- and area-efficiencies similar to an FPGA can be achieved for the flexible ASIP-eFPGA while preserving the flexibility of a software programmable processor.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8
Figure 9
Figure 10
Figure 11
Figure 12
Figure 13
Figure 14
Figure 15
Figure 16
Figure 17
Figure 18

Similar content being viewed by others

References

  1. Noll, T. G. (2004). “Application Domain Specific Embedded FPGAs for SoC Platforms” Invited Survey Lecture at the Irish Signals and Systems Conference 2004 (ISSC'04), Jun.

  2. von Sydow, T., Korb, M., Neumann, B., Blume, H., & Noll, T. G. (2006). “Modelling and quantitative analysis of coupling mechanisms of programmable processor cores and arithmetic oriented eFPGA-macros”. In Proceedings of the ReConFig'06, (pp. 252–261). September.

  3. Stretch S5000, http://www.stretchinc.com.

  4. STMicroelectronics SPEAr, http://www.st.com.

  5. Sima, M., Cotofana, S. D., Vassiliadis, S., van Eijndhoven, J. T. J., & Vissers, K. A. (2004). Pel reconstruction on FPGA-Augmented TriMedia. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12(6), 622–635.

    Article  Google Scholar 

  6. Enzler, R. (2004). Architectural trade-offs in dynamically reconfigurable processors. Dissertation, Swiss Federal Institute of Technology, ETH Zürich.

  7. ARM AMBA, http://www.arm.com.

  8. PACT SMeXXP, http://www.pact.com.

  9. Pionteck, T. (2004). Dynamisch rekonfigurierbare Architekturen für das digitale Basisband und für die Sicherungsschicht drahtloser Netzwerke (in German), Dissertation, Technische Universität Darmstadt.

  10. Hauser, J. R., & Wawrzynek, J. (1997). Garp: A MIPS processor with a reconfigurable coprocessor. In IEEE symposium on FPGAs for custom computing machines, (pp. 12–21).

  11. Miyamori, T., & Olukotun, K. (1998). A quantitative analysis of reconfigurable coprocessors for multimedia applications. In IEEE symposium on FPGAs for custom computing machines.

  12. Lodi, A., Toma, M., Campi, F., et al. (2003). A VLIW processor with reconfigurable instruction. In IEEE Journal of Solid-State Circuits, 38 (11).

  13. Hauck, S., Fry, T. W., Hosler, M. M., & Kao, J. P. (2004). The chimaera reconfigurable functional unit. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12, 206–217.

    Article  Google Scholar 

  14. von Sydow, T., Neumann, B., Blume, H., & Noll, T. G. (2006). Quantitative analysis of embedded FPGA architectures for arithmetic. In Proc. Application Specific Systems, Architectures and Processors Conference 2006 (ASAP’06), (pp. 125–131), September.

  15. Leijten-Nowak, K., & van Meerbergen, J. L. (2003). An FPGA architecture with enhanced datapath functionality. In Proceedings of the FPGA’03, (pp. 194–204).

  16. Neumann, B., von Sydow, T., Blume, H., & Noll, T. G. (2006). Design and quantitative analysis of parametrisable eFPGA-architectures for arithmetic. In Advances in radio science, 4, 251–259.

    Google Scholar 

  17. Lemieux, G., & Lewis, D. (2004). Design of interconnection networks for programmable logic. Norwell, MA: Kluwer.

    Google Scholar 

  18. Brown, S., & Rose, J. (1996). FPGA and CLPD architectures: a tutorial. In IEEE design & test of computers, 13(2), 42–57.

    Article  Google Scholar 

  19. Betz, V., Rose, J., & Marquardt, A. (1999). Architecture and CAD for deep-submicron FPGAs. In Kluwer international series in engineering and computer science.

  20. George, V., & Rabaey, J. M. (2001). Low energy FPGAs—Architecture and design. In Kluwer international series in engineering and computer science.

  21. Altera Quartus II 5.1 Handbook, Volume 2, (p. 342), Dec. 2005, http://www.altera.com/literature/lit-index.html.

  22. Kusse, E., & Rabaey, J. (1998). Low-energy embedded FPGA structures. In IEEE symposium on low power electronics and design, (pp. 155–160).

  23. Kappen, G., & Noll, T. G. (2006). Application specific instruction processor based implementation of a GNSS receiver on an FPGA. In Proceedings of the IEEE DATE, March.

  24. Kafafi, N., Bozman, K. , & Wilton, S. J. E. (2003). Architectures and algorithms for synthesizable embedded programmable logic cores. In Proceedings of the 2003 ACM/SIGDA 11th international symposium on field programmable gate arrays, (pp. 3–11).

  25. Kuon, I., Egier, A., & Rose, J. (2005). Design, layout and verification of an FPGA using automated tools. In Proceedings of the 2005 ACM/SIGDA 13th international symposium on field programmable gate arrays, (pp. 215–226).

  26. Weiss, O., Gansen, M., & Noll, T. G. (2001). A flexible datapath generator for physical oriented design. In Proceedings of the ESSCIRC 2001, 408–411, September.

  27. Hoffmann, A., Schliebusch, O., Nohl, A., Braun, G., Wahlen, O., & Meyr, H. (2001). A methodology for the design of application specific instruction set processors (ASIP) using the machine description language LISA. In Proceedings of the IEEE/ACM, 625–630.

  28. MIPS Technologies Inc., “MIPS R10000 Microprocessor User’s Manual”, Version 2.0, 1997.

  29. SimpleScalar, http://www.simplescalar.com.

  30. Brooks, D., Tiwari, V., & Martonosi, M. (2000). Wattch: a framework for architectural-level power analysis and optimizations. In 27th international symposium on computer architecture, (pp. 83–94).

  31. OMAP platform, http://focus.ti.com.

  32. Blume, H., Becker, D., Botteck, M., Brakensiek, J., & Noll,T. G. (2006). Hybrid functional and instruction level power modeling for embedded processors. In Proceedings of the embedded computer systems: architectures, modeling, and simulation workshop (SAMOS VI), Springer LNCS 4017, (pp. 216–226), July .

  33. ARM940T (sam443), http://www.samsung.com.

  34. National Institute of Standards and Technology, FIPS PUB 46–3, 1999.

  35. Gupta, R., & Evripidou, P. (1993). Design and implementation of an efficient general-purpose Median-Filter network. Digital Signal Processing, 3, 64–72.

    Article  Google Scholar 

Download references

Acknowledgements

This work is funded by the German Research Foundation (Deutsche Forschungsgemeinschaft, DFG) as part of the DFG Priority Program 1148 (Reconfigurable Computing Systems).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to B. Neumann.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Neumann, B., von Sydow, T., Blume, H. et al. Application Domain Specific Embedded FPGAs for Flexible ISA-Extension of ASIPs. J Sign Process Syst Sign Image Video Technol 53, 129–143 (2008). https://doi.org/10.1007/s11265-008-0211-9

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-008-0211-9

Keywords

Navigation