Skip to main content
Log in

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

Partial Reconfiguration (PR) is a method for Field Programmable Gate Array (FPGA) designs which allows multiple applications to time-share a portion of an FPGA while the rest of the device continues to operate unaffected. Using this strategy, the physical layer processing architecture in Software Defined Radio (SDR) systems can benefit from reduced complexity and increased design flexibility, as different waveform applications can be grouped into one part of a single FPGA. Waveform switching often means not only changing functionality, but also changing the FPGA clock frequency. However, that is beyond the current functionality of PR processes as the clock components (such as Digital Clock Managers (DCMs)) are excluded from the process of partial reconfiguration. In this paper, we present a novel architecture that combines another reconfigurable technology, Dynamic Reconfigurable Port (DRP), with PR based on a single FPGA in order to dynamically change both functionality and also the clock frequency. The architecture is demonstrated to reduce hardware utilization significantly compared with standard, static FPGA design.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8

Similar content being viewed by others

References

  1. Tan, K., Liu, H., Zhang, J., Zhang, Y., Fang, J., & Voelker, G. M. “Sora: High-performance software radio using general-purpose multi-core processors”. Communications of the ACM vol. 54, Issue 1, January 2011

  2. Kountouris, A. A., & Moy, C. “Reconfiguration in software radio system”. In Proceeding of 2nd Karlsruhe Workshop on Software Radio, Germany March 20–21, 2002

  3. Bagherzadeh, N., & Eichenberg, T. “Mobile software defined radio solution using high-performance, low-power reconfigurable DSP architecture”. In Proceeding of SDR 2005 Technical Conference and Product Exposition, Anaheim, CA, USA, November 14–18, 2005

  4. Kao, C. “Benefits of partial reconfiguration.” Xcell journal, fourth quarter 2005, pp 65–67

  5. David Dye. Partial Reconfiguration of Virtex FPGAs in ISE 12. [online], Xilinx Inc, July 2010. Available at:<www.xilinx.com/support/documentation/white_papers/wp374_partial_reconfig_virtex_fpga.pdf>

  6. Xilinx, Partial Reconfiguration User Guide. [online], Xilinx Inc, October 2010. Available at:<www.xilinx.com/support/documentation/sw_manuals/xilinx12_3/ug702.pdf>.

  7. Delahaye, J. P., Palicot, J., Moy, C., & Leray, P. “Partial reconfiguration of FPGAs for dynamical reconfiguration of a software radio platform”. In Proceeding of 16th IST Mobile & Wireless Communications Summit, Budapest, Hungary 1–5 July 2007.

  8. Nezami, K. G., Stephens, P. W., & Walker, S. D. “Handel-C implementation of early-access partial reconfiguration for software defined radio”. In Proceedings of IEEE Wireless Communications & Networking Conference (WCNC). Las Vegas, NV, USA March 31 - April 3, 2008

  9. Xilinx, Virtex-5 FPGA User Guide. [online], Xilinx Inc, May 2010. Available at:<www.xilinx.com/support/documentation/user_guides/ug190.pdf>.

  10. Xilinx, Virtex-5 Configuration User Guide. [online], Xilinx Inc, August 2010. Available at:<www.xilinx.com/support/documentation/user_guides/ug191.pdf>

  11. Eto, E. Difference-based Partial Reconfiguration. [online], Xilinx Inc, December 2007. Available at:<www.xilinx.com/support/documentation/application_notes/xapp290.pdf>.

  12. Xilinx, PlanAhead User Guide. [online], Xilinx Inc, May 2010. Available at:<www.xilinx.com/support/documentation/sw_manuals/xilinx12_1/planahead_userguide.pdf>.

  13. Xilinx, Hierarchical Design Methodology Guide. [online], Xilinx Inc, September 2010. Available at:<www.xilinx.com/support/documentation/sw_manuals/xilinx12_1/Hierarchical_Design_Methodology_Guide.pdf>.

  14. Delahaye, J. J., Moy, C., Leray, P., & Palicot, J. “Managing dynamic partial reconfiguration on heterogeneous SDR platforms”. In Proceeding of SDR 2005 Technical Conference and Product Exposition, Anaheim, CA, USA, November 14–18, 2005

  15. Lin, Y., Lee, H., Who, M., Harel, Y., Mahlke, S., Mudge, T., et al. “SODA: A low-power architecture for software radio”. In Proceeding of 33rd ISCA (International Symposium on Computer Architecture). Boston, MA USA, June 17–21, 2006.

  16. Holma, H., & Toskala, A. (2009). LTE for UMTS OFDMA and SC-FDMA based radio access. Chichester, UK.

  17. 3GPP TS 36.211, (Release 9), January 2010

  18. IEEE Standard for Local and metropolitan area networks Part 16: Air Interface for Fixed Broadband Wireless Access Systems, 2001.

  19. IEEE Standard for Local and metropolitan area networks Part 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications, 2009.

  20. 3GPP TS 25.213, (Release 7), March 2006

  21. Tuttlebee, W. (2002). Software defined radio: Enabling technologies. Chichester, UK: Wiley.

    Book  Google Scholar 

  22. Darbari, F., Brew, M., Weiss, S., & Stewart, R. “Practical aspects of broadband access for rural communities using a cost and power efficient multi-hop/relay network”. In Proceeding of IEEE GLOBECOM. Miami, USA, December 2010, pp. 731–735.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ke He.

Rights and permissions

Reprints and permissions

About this article

Cite this article

He, K., Crockett, L. & Stewart, R. Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System. J Sign Process Syst 69, 75–85 (2012). https://doi.org/10.1007/s11265-011-0646-2

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-011-0646-2

Keywords

Navigation