Skip to main content

Advertisement

Log in

3.2 Gbps Channel-Adaptive Configurable MIMO Detector for Multi-Mode Wireless Communication

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

A configurable, channel-adaptive K-best multiple-input, multiple-output (MIMO) detector for multi-mode wireless communications that adapts computation to varying channel conditions to achieve high energy-efficiency is presented. An 8-stage configurable MIMO detector supporting up to a 4 × 4 MIMO array and BPSK to 16-QAM modulation schemes has been implemented and simulated in 0.80 V, 22 nm Tri-gate CMOS process. Dynamic clock gating and power gating enable on-the-fly configuration and adaptive tuning of search radius K to channel response which results in 10 to 51 % energy-efficiency improvement over non-adaptive K-best MIMO detectors. During unfavorable channel conditions, the MIMO detector satisfies target bit error rate (BER) by setting K = 5. For favorable channel conditions, K is reduced to 1, where 22 nm circuit simulations show 68 % energy reduction. At 1.0GHz target frequency, the total power consumption is 15 mW (K = 1) to 35 mW (K = 5), resulting in energy-efficiency of 14.2pJ/bit (K = 1) to 44.7pJ/bit (K = 5) and 3.2Gbps throughput.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8
Figure 9
Figure 10
Figure 11
Figure 12

Similar content being viewed by others

References

  1. 3GPP standards website: www.3gpp.org

  2. Guo, Z., & Nilsson, P. (2006). Algorithm and implementation of the K-best sphere decoding for MIMO detection. IEEE Journal on Selected Areas of Communications, 24(3), 491–503.

    Article  Google Scholar 

  3. Chiueh, T. D., Tsai, P. Y., & Lai, I. W. (2012). Baseband Receiver Design for Wireless MIMO-OFDM Communications 2nd Edition. Singapore: Wiley.

    Book  Google Scholar 

  4. Burg, A. (2005). VLSI Implementation of MIMO Detection Using the Sphere Decoding Algorithm. IEEE Journal of Solid-State Circuits, 40(7), 1566–1577.

    Article  Google Scholar 

  5. Mahdavi, M., & Shabany, M. (2013). “Novel MIMO detection algorithm for high-order constellations in the complex domain”, in IEEE Transactions on VLSI Systems, 12:5.

  6. Agrell, E., Eirksson, T., Vardy, A., & Zeger, K. (2002). Closest point search in lattices. IEEE Transactions on Information Theory, 48(8), 2201–2214.

    Article  MathSciNet  MATH  Google Scholar 

  7. Laraway, S. A., & Farhang-Boroujeny, B. (2009). Implementation of a Markov Chain Monte Carlo Based Multiuser/MIMO Detector. IEEE Transactions on Circuits and Systems – I, 56(1), 246–255.

    Article  MathSciNet  Google Scholar 

  8. Perels, D. et. al. (2005). “ASIC Implementation of a MIMO-OFDM Transceiver for 192Mbps WLANs”, in ESSCIRC Digest of Technical Papers, Grenoble, France.

  9. Schnorr, C. P., & Euchner, M. (1994). Lattice basis reduction: Improved practical algorithms and solving subset sum problems. Math. Programming, 66, 181–191.

    Article  MathSciNet  MATH  Google Scholar 

  10. Yang, C. H., & Markovic, D. (2009). A Flexible DSP Architecture for MIMO Sphere Decoding. IEEE Transactions on Circuits and Systems-I, 56(10), 2301–2314.

    Article  MathSciNet  Google Scholar 

  11. Yang, C. H., Yu, T. H., & Markovic, D. (2010). “A 5.8 mW 3GPP-LTE compliant 8x8 MIMO sphere decoder chip with soft-outputs”, in Technical Digest of Technical Papers for IEEE 2010 Symposium on VLSI Circuits, Hawaii USA.

  12. Winter, M. (2012). “A 335 Mb/s 3.9 mm2 65nm CMOS flexible MIMO detection-decoding engine achieving 4G wireless data rates”, in 2012 ISSCC Digest of Technical Papers, San Francisco, USA.

  13. Adeva, E. P. et al. (2011). “VLSI Architecture for soft-output tuple search sphere decoding”, IEEE Workshop on Signal Processing Systems.

  14. Jan, C. H. et. al. (2012). “A 22 nm SoC platform technology featuring 3-D tri-gate and High-k/Metal gate, optimized for ultra low power, high performance and high density SoC applications”, in Proceedings of IEDM, 4–7.

  15. Studer, C., Burg, A., & Bolcskei, H. (2008). Soft-Output Sphere Decoding: Algorithms and VLSI Implementation. IEEE Journal of Selected Areas in Communications, 26(2), 290–300.

    Article  Google Scholar 

  16. Garret, D. et. al. (2004). “A 28.8 Mb/s 4x4 MIMO 3G high-speed downlink packet access receiver with normalized least mean square equalization”, in Proceedings of 2004 I.E. International Solid-State Circuits Conference, 15–19 February 2004, San Francisco, CA, pp. 420–536.

  17. Shabany, M. & Gulak, P. G. (2009). “A 0.13μm CMOS 655Mb/s 4x4 64-QAM K-Best MIMO Detector”, in Proceedings of 2009 I.E. International Solid-State Circuits Conference, 8–12 February 2009, San Francisco, CA, pp. 256–257.

Download references

Acknowledgments

The authors thank M. Wu, R. Iyer, K. Stewart, V. De, R. Forand, G. Taylor, V. Ilderem, W. H. Wang, I. Perez-Gonzalez, M. Jorgovanovic, M. Weiner, and G. Chen for encouragement and discussions.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Farhana Sheikh.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sheikh, F., Chen, CH., Yoon, D. et al. 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for Multi-Mode Wireless Communication. J Sign Process Syst 84, 295–307 (2016). https://doi.org/10.1007/s11265-015-1093-2

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-015-1093-2

Keywords

Navigation