Skip to main content

Advertisement

Log in

Optimum design of a banked memory with power management for wireless sensor networks

  • Published:
Wireless Networks Aims and scope Submit manuscript

Abstract

The ever-increasing complexity of applications covered by wireless sensor networks (WSNs) demands for increasing memory size, which in turn increases the power drain. It is well known that SRAM power consumption can be reduced by employing a banked structure, where unused banks are switched into the low leakage retention mode. Although several power management strategies and algorithms for allocating the memory contents to the banks have been proposed, the energy savings limits of these techniques were not completely explored. In this work, we propose a new strategy for memory banking, taking advantage of the software properties intrinsic to WSN, and achieve aggressive power savings. We present a detailed model of the energy saving for uniform banks with two power management schemes: a best-oracle policy and a simple greedy policy. The model gives valuable insight into key factors (coming from the application, the technology, and design decisions) that are critical for reaching the maximum achievable energy saving. Using our model the optimum number of banks can be estimated at design time to reach more aggressive energy savings. The memory content allocation and the power management problem were solved by an integer linear program formulation for two real wireless sensor network applications (based on TinyOS and ContikiOS). Experimental results show memory energy reduction up to 78.3 % for a partition overhead of 1 %, representing an overall energy saving close to 19 % in data collection WSN applications, including the communication energy and sleep power. The saving would increase to 34 % in more intensive processing applications.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

Notes

  1. Since the variables involved in the inequalities are binary, \(a \ge b\) corresponds to the logical implication, \(a \Rightarrow b\).

  2. www.tinyos.net.

  3. www.contiki-os.org.

  4. www.ti.com/msp430.

References

  1. Becker, T., Jamieson, P., Luk, W., Cheung, P. Y. K., & Rissa, T. (2008). Towards benchmarking energy efficiency of reconfigurable architectures. In 2008 International conference on field programmable logic and applications, pp. 691–694. IEEE.

  2. Benini, L., Macchiarulo, L., Macii, A., & Poncino, M. (2002). Layout-driven memory synthesis for embedded systems-on-chip. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 10(2), 96–105.

    Article  Google Scholar 

  3. Calimera, A., Benini, L., Macii, A., Macii, E., & Poncino, M. (2009). Design of a flexible reactivation cell for safe power-mode transition in power-gated circuits. IEEE Transactions on Circuits and Systems I: Regular Papers, 56(9), 1979–1993.

    Article  MathSciNet  Google Scholar 

  4. Calimera, A., Macii, A., Macii, E., & Poncino, M. (2012). Design techniques and architectures for low-leakage SRAMs. Circuits and Systems I: Regular Papers, IEEE Transactions on, 59(9), 1992–2007.

    Article  MathSciNet  Google Scholar 

  5. Cano, C., Bellalta, B., Sfairopoulou, A., & Oliver, M. (2011). Low energy operation in WSNs: A survey of preamble sampling MAC protocols. Computer Networks, 55(15), 3351–3363.

    Article  Google Scholar 

  6. Chen, G., Li, F., Kandemir, M., Ozturk, O., & Demirkiran, I. (2006). Compiler-directed management of leakage power in software-managed memories. In IEEE computer society annual symposium on emerging VLSI technologies and architectures (ISVLSI’06), vol. 00, pp. 450–451. IEEE.

  7. Dally, W. J., Chen, J., Harting, R. C., Balfour, J., Black-Shaffer, D., Parikh, V., et al. (2008). Efficient embedded computing. Computer, 41(7), 27–32.

    Article  Google Scholar 

  8. Dunkels, A., Österlind, F., Tsiftes, N., & He, Z. (2007). Software-based on-line energy estimation for sensor nodes. In Proceedings of the fourth workshop on embedded networked sensors (Emnets IV), Cork, Ireland, June.

  9. Eriksson, J., Österlind, F., Finne, N., Tsiftes, N., Dunkels, A., Voigt, T., et al. (2009). COOJA/MSPSim: Interoperability testing for wireless sensor networks. In Proceedings of the 2nd international conference on simulation tools and techniques, simutools ’09, pp. 1–7, ICST, Brussels, Belgium, Belgium, 2009. ICST (Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering).

  10. Farrahi, A. H., Téllez, G. E., Sarrafzadeh, M. (1995). Memory segmentation to exploit sleep mode operation. In Proceedings of the 32nd annual ACM/IEEE design automation conference, DAC ’95 (pp. 36–41). New York, NY: ACM.

  11. Fonseca, R., Dutta, P., Levis, P., & Stoica, I. (2008). Quanto: Tracking energy in networked embedded systems. In Proceedings of the 8th USENIX conference on operating systems design and implementation, OSDI’08 (pp. 323–338). Berkeley, CA: USENIX Association.

  12. Gnawali, O., Fonseca, R., Jamieson, K., Moss, D., & Levis, P. (2009). Collection tree protocol. In Proceedings of the 7th ACM conference on embedded networked sensor systems, SenSys ’09 (pp. 1–14). New York, NY: ACM.

  13. Golubeva, O., Loghi, M., Poncino, M., & Macii, E. (2007). Architectural leakage-aware management of partitioned scratchpad memories. In DATE ’07: Proceedings of the conference on design, automation and test in Europe (pp. 1665–1670). San Jose, CA: EDA Consortium.

  14. Guthaus, M. R., Ringenberg, J. S., Ernst, D., Austin, T. M., Mudge, T., & Brown, R. B. (2001). MiBench: A free, commercially representative embedded benchmark suite. In Proceedings of the fourth annual IEEE international workshop on workload characterization. WWC-4 (Cat. No. 01EX538), pp. 3–14. IEEE.

  15. Hempstead, M., Brooks, D., & Wei, G. (2011). An accelerator-based wireless sensor network processor in 130 nm CMOS. Emerging and selected topics in circuits and systems. IEEE Journal on, 1(2), 193–202.

    Google Scholar 

  16. Ko, J. G., Tsiftes, N., Dunkels, A., & Terzis, A. (2012). Pragmatic low-power interoperability: ContikiMAC vs TinyOS LPL. In Sensor, mesh and ad hoc communications and networks (SECON), 2012 9th annual IEEE communications society conference on, pp. 94–96. IEEE, June.

  17. Ko, J. G., Klues, K., Richter, C., Hofer, W., Kusy, B., Bruenig, M., et al. (2012). Low power or high performance? A tradeoff whose time has come (and nearly gone). In Proceedings of the 9th European conference on wireless sensor networks, EWSN’12 (pp. 98–114). Berlin, Heidelberg: Springer.

  18. Kwong, J., Ramadass, Y., Verma, N., Koesler, M., Huber, K., Moormann, H., et al. (2008). A 65nm sub-Vt microcontroller with integrated SRAM and switched-capacitor DC-DC converter. In Solid-state circuits conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE international, pp. 318–616. IEEE, February.

  19. Loghi, Mirko, Golubeva, Olga, Macii, Enrico, & Poncino, Massimo. (2010). Architectural leakage power minimization of scratchpad memories by application-driven subbanking. IEEE Transactions on Computers, 59(7), 891–904.

    Article  MathSciNet  Google Scholar 

  20. Ozturk, Ozcan, & Kandemir, Mahmut. (2008). ILP-Based energy minimization techniques for banked memories. ACM Transactions on Design Automation of Electronic System, 13(3), 1–40.

    Article  Google Scholar 

  21. Pasha, M. A., Derrien, S., & Sentieys, O. (2010). A complete design-flow for the generation of ultra low-power WSN node architectures based on micro-tasking. In Design automation conference (DAC), 2010 47th ACM/IEEE, pp. 693–698. IEEE, June.

  22. Polastre, J., Szewczyk, R., & Culler, D. (2005). Telos: Enabling ultra-low power wireless research. In Information processing in sensor networks, 2005. IPSN 2005. Fourth international symposium on, pp. 364–369. IEEE, April.

  23. Prayati, A., Antonopoulos, Ch., Stoyanova, T., Koulamas, C., & Papadopoulos, G. (2010). A modeling approach on the TelosB WSN platform power consumption. Journal of Systems and Software, 83(8), 1355–1363.

    Article  Google Scholar 

  24. Rabaey, J. (2009). Optimizing power @ standby memory. In Low power design essentials, integrated circuits and systems (pp. 233–248). US: Springer.

  25. Thoziyoor, S., Ahn, J. H., Monchiero, M., Brockman, J. B., Jouppi, N. P. (2008). A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies. In 2008 International symposium on computer architecture, pp. 51–62, Washington, DC, USA, June. IEEE.

  26. Vaandrager, F. (1998). Introduction, volume 1494 of lecture notes in computer science, chapter 1 (pp. 1–3). Berlin, Heidelberg: Springer.

    Google Scholar 

  27. Verma, N. (2011). Analysis towards minimization of total SRAM energy over active and idle operating modes. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 19(9), 1695–1703.

    Article  Google Scholar 

  28. Winter, T., Thubert, P., Brandt, A., Hui, J., Kelsey, R., Levis, P., et al. (2012). RPL: IPv6 routing protocol for low-power and lossy networks. RFC 6550 (Proposed Standard), March.

Download references

Acknowledgment

The authors would like to thank the financial support of ANII and CSIC-Universidad de la República.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Leonardo Steinfeld.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Steinfeld, L., Ritt, M., Silveira, F. et al. Optimum design of a banked memory with power management for wireless sensor networks. Wireless Netw 21, 81–94 (2015). https://doi.org/10.1007/s11276-014-0763-5

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11276-014-0763-5

Keywords

Navigation