Skip to main content

Advertisement

Log in

Optical Versus Electrical: Performance Evaluation of Network On-Chip Topologies for UWASN Manycore Processors

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

Optical network on chip (ONoC) has evolved as an innovative technology for on-chip interconnects that can fulfill the upcoming requirements of manycore processors used in UWASN. The objective of this paper is two-fold, first we assessed the performance of electrical and optical NoC mesh and torus topologies with 64 and 144 nodes. We explored the impact of different packet and network sizes on average latency and throughput of NoCs. Furthermore, we investigated the effect of application mapping on crosstalk noise, laser power consumption and SNR for optical mesh/torus architectures under real time benchmark applications. The experimental outcomes revealed that ONoC has advantages of improved average latency and improved throughput for large packet size. Second, we proposed a hybrid optical–electrical NoC topology based on multi write single read serpentine optical bus architecture aiming to minimize the communication latency and energy consumption. We present an optimized routing algorithm for the proposed topology that exploits the advantage of processing parallelism level to reduce latency in hybrid network. The proposed topology proved to be 48% and 53% efficient in latency, 12% and 17% higher in throughput and provides 54% and 23% reduction in energy consumption under uniform random and hotspot traffic patterns respectively as compared to other NoC architectures.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

References

  1. Bertozzi, D., Dimitrakopoulos, G., Flich, J., & Sonntag, S. (2015). The fast evolving landscape of on-chip communication selected future challenges and research avenues. Design Automation for Embedded Systems, 19(1), 59–76. https://doi.org/10.1007/s10617-014-9137-6.

    Article  Google Scholar 

  2. Ben Achballah, A., Ben Othman, S., & Ben Saoud, S. (2017). Problems and challenges of emerging technology networks-on-chip: A review. Microprocessors and Microsystems, 53, 1–20. https://doi.org/10.1016/j.micpro.2017.07.004.

    Article  Google Scholar 

  3. Yahya, M. R. (2018). Review of photonic and hybrid on chip interconnects for MPSoCs in IoT paradigm. In 2018 21st Saudi computer society national computer conference (NCC) (pp. 1–6). https://doi.org/10.1109/ncg.2018.8593055.

  4. Li, W., Guo, B., Li, X., Zhou, Y., Huang, S., & Rouskas, G. N. (2019). A large-scale nesting ring multi-chip architecture for manycore processor systems. Optical Switching and Networking, 31, 183–192. https://doi.org/10.1016/j.osn.2018.10.004.

    Article  Google Scholar 

  5. Werner, S., Navaridas, J., & Luján, M. (2017). A survey on optical network-on-chip architectures. ACM Computing Surveys, 50(6), 1. https://doi.org/10.1145/3131346.

    Article  Google Scholar 

  6. Shacham, A., Bergman, K., & Carloni, L. P. (2008). Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Transactions on Computers, 57(9), 1246–1260. https://doi.org/10.1109/TC.2008.78.

    Article  MathSciNet  Google Scholar 

  7. Bergman, K., Carloni, L. P., Chan, J., & Hendry, G. (2014). Photonic network- on-chip design. New York: Springer. https://doi.org/10.1007/978-1-4419-9335-9.

    Book  Google Scholar 

  8. Ramini, L., Grani, P., Bartolini, S., & Bertozzi, D. (2013). Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis. Design Automation & Test in Europe Conference & Exhibition (DATE), 2013, 1589–1594. https://doi.org/10.7873/DATE.2013.323.

    Article  Google Scholar 

  9. Fusella, E., Flich, J., & Cilardo, A. (2017). Path setup for hybrid NoC architectures exploiting flooding and standby. IEEE Transactions on Parallel and Distributed Systems, 28(5), 1403–1416. https://doi.org/10.1109/TPDS.2016.2622265.

    Article  Google Scholar 

  10. Werner, S., Navaridas, J., & Lujan, M. (2017). Designing low-power, low-latency networks-on-chip by optimally combining electrical and optical links. In ProceedingsInternational symposium on high-performance computer architecture (265–276). https://doi.org/10.1109/hpca.2017.23

  11. Ben Ahmed, A., & Ben Abdallah, A. (2015). Hybrid silicon-photonic network-on-chip for future generations of high-performance many-core systems. Journal of Supercomputing, 71(12), 4446–4475. https://doi.org/10.1007/s11227-015-1539-0.

    Article  Google Scholar 

  12. Duong, L. H. K., Member, S., Wang, Z., Member, S., Nikdast, M., Xu, J., et al. (2016). Coherent and incoherent crosstalk noise analyses in interchip/intrachip optical interconnection networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(7), 1–13. https://doi.org/10.1109/TVLSI.2015.2511039.

    Article  Google Scholar 

  13. Chan, J., Hendry, G., Biberman, A., & Bergman, K. (2010). Architectural exploration of chip-scale photonic interconnection network designs using physical-layer analysis. Journal of Lightwave Technology, 28(9), 1305–1315. https://doi.org/10.1109/JLT.2010.2044231.

    Article  Google Scholar 

  14. Xie, Y., Nikdast, M., Xu, J., Wu, X., Zhang, W., & Ye, Y. (2013). Formal worst-case analysis of crosstalk noise in mesh-based optical networks-on-chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21(10), 1823–1836. https://doi.org/10.1109/tvlsi.2012.2220573.

    Article  Google Scholar 

  15. Fusella, E., & Cilardo, A. (2016). Crosstalk-aware automated mapping for optical networks-on-chip. ACM Transactions on Embedded Computing Systems, 16(1), 1–26. https://doi.org/10.1145/2930666.

    Article  Google Scholar 

  16. Sahu, P. K., & Chattopadhyay, S. (2013). A survey on application mapping strategies for network-on-chip design. Journal of Systems Architecture, 59(1), 60–76. https://doi.org/10.1016/j.sysarc.2012.10.004.

    Article  Google Scholar 

  17. Ortín-Obón, M., Suárez-Gracia, D., Villarroya-Gaudó, M., Izu, C., & Viñals-Yúfera, V. (2016). Analysis of network-on-chip topologies for cost-efficient chip multiprocessors. Microprocessors and Microsystems, 42, 24–36. https://doi.org/10.1016/j.micpro.2016.01.005.

    Article  Google Scholar 

  18. Suboh, S., Bakhouya, M., Gaber, J., & El-Ghazawi, T. (2008). An interconnection architecture for network-on-chip systems. Telecommunication Systems, 37(1–3), 137–144. https://doi.org/10.1007/s11235-008-9077-1.

    Article  Google Scholar 

  19. Furhad, H., Haque, M. A., Kim, C. H., & Kim, J. M. (2013). An analysis of reducing communication delay in network-on-chip interconnect architecture. Wireless Personal Communications, 73(4), 1403–1419. https://doi.org/10.1007/s11277-013-1257-y.

    Article  Google Scholar 

  20. Bakhouya, M., Suboh, S., Gaber, J., El-Ghazawi, T., & Niar, S. (2011). Performance evaluation and design tradeoffs of on-chip interconnect architectures. Simulation Modelling Practice and Theory, 19(6), 1496–1505. https://doi.org/10.1016/j.simpat.2010.10.008.

    Article  Google Scholar 

  21. Lee, J. H., Kim, Y. S., Li, C. L., & Han, T. H. (2013). A shortest path adaptive routing technique for minimizing path collisions in hybrid optical network-on-chip. Journal of Systems Architecture, 59, 1334–1347. https://doi.org/10.1016/j.sysarc.2013.08.017.

    Article  Google Scholar 

  22. Ju, X., & Yang, L. (2012). Performance analysis and comparison of 2 × 4 network on chip topology. Microprocessors and Microsystems, 36(6), 505–509. https://doi.org/10.1016/j.micpro.2012.04.006.

    Article  Google Scholar 

  23. Li, Z., Mohamed, M., Chen, X., Zhou, H., Mickelson, A., Shang, L., et al. (2011). Iris: A hybrid nanophotonic network design for high-performance and low-power on-chip communication. ACM Journal on Emerging Technologies in Computing Systems, 7(2), 1–22. https://doi.org/10.1145/1970406.1970410.

    Article  Google Scholar 

  24. Mo, K. H., Ye, Y., Wu, X., Zhang, W., Liu, W., & Xu, J. (2010). A hierarchical hybrid optical–electronic network-on-chip. Proceedings - IEEE Annual Symposium on VLSI, ISVLSI, 2010, 327–332. https://doi.org/10.1109/ISVLSI.2010.17.

    Article  Google Scholar 

  25. Ye, Y., Xu, J., Wu, X., Zhang, W., Liu, W., & Nikdast, M. (2012). A torus-based hierarchical optical–electronic network-on-chip for multiprocessor system-on-chip. ACM Journal on Emerging Technologies in Computing Systems, 8(1), 1–26. https://doi.org/10.1145/2093145.2093150.

    Article  Google Scholar 

  26. Xie, Y., Nikdast, M., Xu, J., Zhang, W., Li, Q., Wu, X., … Liu, W. (2010). Crosstalk noise and bit error rate analysis for optical network-on-chip. In Proceedings of the 47th design automation conference onDAC’10 (p. 657). https://doi.org/10.1145/1837274.1837441

  27. Fusella, E., & Cilardo, A. (2016). PhoNoCMap: An application mapping tool for photonic networks-on-chip. Design, In Automation & test in Europe conference & exhibition (DATE’16) (pp. 289–292). ISBN 978-3-9815370-6-2

  28. Nikdast, M., Xu, J., Duong, L. H., Xu, J., Duong, L. H. K., Wu, X., et al. (2015). Crosstalk noise in WDM-based optical networks-on-chip: A formal study and comparison. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23(11), 2552–2565. https://doi.org/10.1109/tvlsi.2014.2370892.

    Article  Google Scholar 

  29. Fusella, E., & Cilardo, A. (2016). Minimizing power loss in optical networks-on-chip through application-specific mapping. Microprocessors and Microsystems, 43, 4–13. https://doi.org/10.1016/j.micpro.2016.01.014.

    Article  Google Scholar 

  30. Fusella, E., & Cilardo, A. (2018). Reducing power consumption of lasers in photonic NoCs through application-specific mapping. ACM Journal on Emerging Technologies in Computing Systems, 14(2), 1–11. https://doi.org/10.1145/3173463.

    Article  Google Scholar 

  31. Beechu, N. K. R., Moodabettu Harishchandra, V., & Yernad Balachandra, N. K. (2018). Energy-aware and reliability-aware mapping for NoC-based architectures. Wireless Personal Communications, 100(2), 213–225. https://doi.org/10.1007/s11277-017-5061-y.

    Article  Google Scholar 

  32. Chou, S. H., Chen, C. C., Wen, C. N., Chen, T. F., & Lin, T. J. (2011). Hierarchical circuit-switched NoC for multicore video processing. Microprocessors and Microsystems, 35(2), 182–199. https://doi.org/10.1016/j.micpro.2010.09.009.

    Article  Google Scholar 

  33. Obaidullah, M., & Khan, G. N. (2017). Hybrid multi-swarm optimization based NoC synthesis. In International system on chip conference, 2017-September (pp. 62–67). https://doi.org/10.1109/socc.2017.8226008

  34. Yang, L., Liu, W., Jiang, W., Li, M., Chen, P., & Sha, E. H. M. (2017). FoToNoC: A folded torus-like network-on-chip based many-core systems-on-chip in the dark silicon era. IEEE Transactions on Parallel and Distributed Systems, 28(7), 1905–1918. https://doi.org/10.1109/TPDS.2016.2643669.

    Article  Google Scholar 

  35. Khan, S., Anjum, S., Gulzari, U. A., & Torres, F. S. (2018). Comparative analysis of network-on-chip simulation tools. IET Computers and Digital Techniques, 12(1), 30–38. https://doi.org/10.1049/iet-cdt.2017.0068.

    Article  Google Scholar 

  36. Khoroush, S., Reshadi, M., & Khademzadeh, A. (2018). Application mapping in hybrid photonic networks-on-chip for reducing insertion loss. Journal of Supercomputing, 74(9), 4647–4671. https://doi.org/10.1007/s11227-018-2458-7.

    Article  Google Scholar 

  37. Khan, S., Anjum, S., Gulzari, U. A., Afzal, M. K., Umer, T., & Ishmanov, F. (2018). An efficient algorithm for mapping real time embedded applications on NoC architecture. IEEE Access, 6. https://doi.org/10.1109/access.2018.2811716

  38. Khan, S., Anjum, S., Gulzari, U. A., Umer, T., & Kim, B. S. (2017). Bandwidth-constrained multi-objective segmented brute-force algorithm for efficient mapping of embedded applications on NoC architecture. IEEE Access, 6, 11242–11254. https://doi.org/10.1109/ACCESS.2017.2778340.

    Article  Google Scholar 

  39. Badawy, A. H. A. (2017). MorphoNoC: Exploring the design space of a configurable hybrid NoC using nanophotonics. Microprocessors and Microsystems, 50, 113–126. https://doi.org/10.1016/j.micpro.2017.03.006.

    Article  Google Scholar 

  40. Pan, Y., Kumar, P., Kim, J., Memik, G., Zhang, Y., & Choudhary, A. (2009). Firefly : Illuminating future network-on-chip with nanophotonics categories and subject descriptors.

  41. Bahirat, S., & Pasricha, S. (2014). METEOR: hybrid photonic ring-mesh network-on-chip for multicore architectures. ACM Transactions on Embedded Computing Systems, 13(3s), 1–33. https://doi.org/10.1145/2567940.

    Article  Google Scholar 

  42. Tan, W., Gu, H., Yang, Y., Wang, K., & Wang, X. (2017). Venus: A low-latency, low-loss 3-D hybrid network-on-chip for kilocore systems. Journal of Lightwave Technology, 35(24), 5448–5455. https://doi.org/10.1109/JLT.2017.2764956.

    Article  Google Scholar 

  43. Kamruzzaman, J., Wang, G., Karmakar, G., Ahmad, I., & Bhuiyan, M. Z. A. (2018). Acoustic sensor networks in the Internet of Things applications. Future Generation Computer Systems, 86, 1167–1169. https://doi.org/10.1016/j.future.2018.05.019.

    Article  Google Scholar 

  44. Cossu, G., Sturniolo, A., Messa, A., Grechi, S., Costa, D., Bartolini, A., et al. (2018). Sea-trial of optical ethernet modems for underwater wireless communications. Journal of Lightwave Technology, 36(23), 5371–5380. https://doi.org/10.1109/JLT.2018.2871088.

    Article  Google Scholar 

  45. Gu, H., Mo, K. H., Xu, J., & Zhang, W. (2009). A low-power low-cost optical router for optical networks-on-chip in multiprocessor systems-on-chip. In Proceedings of the 2009 IEEE computer society annual symposium on VLSI, ISVLSI 2009, (May 2014), 19–24. https://doi.org/10.1109/isvlsi.2009.19

  46. Maeda, R. K. V, Yang, P., Wu, X., Wang, Z., Xu, J., Wang, Z., … Wang, Z. (2016). JADE: A heterogeneous multiprocessor system simulation platform using recorded and statistical application models. In Proceedings of the 1st international workshop on advanced interconnect solutions and technologies for emerging computing systems (pp. 8:1–8:6). https://doi.org/10.1145/2857058.2857066

  47. Sun, C., Chen, C. H. O., Kurian, G., Wei, L., Miller, J., Agarwal, A., … Stojanovic, V. (2012). DSENT—A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In Proceedings of the 2012 6th IEEE/ACM international symposium on networks-on-chip, NoCS 2012 (pp. 201–210). https://doi.org/10.1109/nocs.2012.31

  48. Gu, H., Xu, J., & Wang, Z. (2008). A novel optical mesh network-on-chip for gigascale systems-on-chip. In IEEE Asia-Pacific conference on circuits and systems, proceedings, APCCAS (pp. 1728–1731). https://doi.org/10.1109/apccas.2008.4746373

  49. Ji, R., Yang, L., Zhang, L., Tian, Y., Ding, J., Chen, H., et al. (2011). Five-port optical router for photonic networks-on-chip. Optics Express, 19(21), 20258. https://doi.org/10.1364/OE.19.020258.

    Article  Google Scholar 

  50. Gu, H., Xu, J., & Wang, Z. (2008). ODOR: a microresonator-based high-performance low-cost router for optical networks-on-Chip. In Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesisCODES/ISSS’08, 203. https://doi.org/10.1145/1450135.1450181

  51. Poon, A. W., Luo, X., Xu, F., & Chen, H. (2009). Cascaded microresonator-based matrix switch for silicon on-chip optical interconnection. Proceedings of the IEEE, 97(7), 1216–1238. https://doi.org/10.1109/JPROC.2009.2014884.

    Article  Google Scholar 

  52. Vantrease, D., Schreiber, R., Monchiero, M., Mclaren, M., Jouppi, N. P., Fiorentino, M., … Ahn, J. H. (2008). Corona: System implications of emerging nanophotonic technology. In Proceedingsinternational symposium on computer architecture (pp. 153–164). https://doi.org/10.1109/isca.2008.35

Download references

Acknowledgements

This work was supported by the National Natural Science Foundation of China (No. 61774086), the Natural Science Foundation of Jiangsu Province (BK20160806) and the Fundamental Research Funds for the Central Universities (NP2019102, NS2017023 and NS2016041).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Muhammad Rehan Yahya.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Yahya, M.R., Wu, N., Ali, Z.A. et al. Optical Versus Electrical: Performance Evaluation of Network On-Chip Topologies for UWASN Manycore Processors. Wireless Pers Commun 116, 963–991 (2021). https://doi.org/10.1007/s11277-019-06630-5

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-019-06630-5

Keywords

Navigation