Skip to main content
Log in

A Review on Performance Evaluation of Different Low Power SRAM Cells in Nano-Scale Era

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

The growing demand of Internet of things based portable gadgets motivate to develop low power static random access memory (SRAM) cell. It occupies large portion in modern system on chip devices. In this context, a detailed review on various SRAM cell topologies has been performed which includes comparative analysis of design parameters and challenges. To perform the comparative analysis, considered SRAM cell topologies are simulated with cadence virtuoso IC6.1.5-64b at 45 nm generic process design kit technology file. It is worthy to notice that 9T SRAM cell has highest value of read stability among considered cells. It is attributed to use of differential read decoupled structure. The 7T SRAM cell has highest value of write ability among considered cells. It is observed that 8T SRAM cell has lowest read power dissipation among considered cells. It happens due to the use of stack transistor in read path of the cell. The lowest value of read access time is also observed in 8T SRAM cell among considered SRAM cells. It is 1.82\(\times\) higher as compared to conventional 6T SRAM cell. Further, the write access time of 9T SRAM cell is lowest among considered cells. This is 1.41\(\times\) less as compared to conventional 6T SRAM cell. Static noise per unit area to power delay product ratio (SAPR) is used to evaluate the overall performance of considered SRAM topologies. It is observed that 8T SRAM cell has the highest value of SAPR among considered SRAM cells. It is 1.91\(\times\) as compared to conventional 6T SRAM cell. All the comparison has been done at 1.0 V supply voltage.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23
Fig. 24
Fig. 25
Fig. 26
Fig. 27
Fig. 28
Fig. 29
Fig. 30
Fig. 31

Similar content being viewed by others

References

  1. Roy, K., Mukhopadhyay, S., & Mahmoodi-Meimand, H. (2003). Leakage current mechanisms and leakage reduction techniques in deep-submicrometer cmos circuits. Proceedings of the IEEE, 91(2), 305–327.

    Article  Google Scholar 

  2. Hendrawan, S., & Kaushik, R. (1999). Ultra-low power digital subthreshold logic circuits. In Proceedings 1999 international symposium on low power electronics and design (Cat. No. 99TH8477) (pp. 94–96). IEEE.

  3. Gupta, S., Gupta, K., & Pandey, N. (2017). A 32-nm subthreshold 7T sram bit cell with read assist. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(12), 3473–3483.

    Article  Google Scholar 

  4. Yabuuchi, M., Tsukamoto, Y., Fujiwara, H., Tanaka, M., Tanaka, S., & Nii, K. (2018). A 28-nm 1R1W two-port 8T SRAM macro with screening circuitry against read disturbance and wordline coupling noise failures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26(11), 2335–2344.

    Article  Google Scholar 

  5. Shin, K., Choi, W., & Park, J. (2017). Half-select free and bit-line sharing 9T sram for reliable supply voltage scaling. IEEE Transactions on Circuits and Systems I: Regular Papers, 64(8), 2036–2048.

    Article  Google Scholar 

  6. Gupta, S., Gupta, K., & Pandey, N. (2018). Pentavariate analysis of a subthreshold 10T SRAM bit cell with variation tolerant write and divided bit-line read. IEEE Transactions on Circuits and Systems I: Regular Papers, 65(10), 3326–3337.

    Article  Google Scholar 

  7. Selvam, R. D. K., Senthilpari, C., & Lini, L. (2018). Improved speed low power and low voltage sram design for ldpc application circuits. Journal of Engineering Science and Technology, 13(3), 822–837.

    Google Scholar 

  8. Deepika, K. G., Priyadarshini, K. M., & Raj, K. D. S. (2013). Sleepy keeper approach for power performance tuning in vlsi design. International Journal of Electronics and Communication Engineering, 6(1), 17–28.

    Google Scholar 

  9. Bhargav, K. N., Suresh, A., & Saini, G. (2014). Stacked keeper with body bias: A new approach to reduce leakage power for low power vlsi design. In 2014 IEEE international conference on advanced communications, control and computing technologies (pp. 445–450). IEEE.

  10. Dadoria, A. K., & Khare, K. (2014). A novel approach for leakage power reduction techniques in 65 nm technologies. International Journal of VLSI Design and Communication Systems, 5(3), 1.

    Article  Google Scholar 

  11. Madiwalar, B., & Kariyappa, B. S. (2013). Single bit-line 7T SRAM cell for low power and high SNM. In 2013 international mutli-conference on automation, computing, communication, control and compressed sensing (iMac4s) (pp. 223–228). IEEE.

  12. Shrivastava, M., & Yadav, V. K. (2018). Low power schmitt trigger based sram using 32 nm finfet devices. Materials Today: Proceedings, 5(1), 1578–1584.

    Google Scholar 

  13. Chen, J., Clark, L. T., & Chen, T.-H. (2006). An ultra-low-power memory with a subthreshold power supply voltage. IEEE Journal of Solid-State Circuits, 41(10), 2344–2353.

    Article  Google Scholar 

  14. Chen, J., Clark, L. T., & Cao, Yu. (2005). Maximum fan-in/out. IEEE Circuits and Devices Magazine, 21(6), 12–20.

    Article  Google Scholar 

  15. Teman, A., Mordakhay, A., Mezhibovsky, J., & Fish, A. (2012). A 40-nm sub-threshold 5T sram bit cell with improved read and write stability. IEEE Transactions on Circuits and Systems II: Express Briefs, 59(12), 873–877.

    Article  Google Scholar 

  16. Anand, N., Sinha, A., Roy, C., & Islam, A. (2015). Design of a stable read-decoupled 6T SRAM cell at 16-nm technology node. In 2015 IEEE international conference on computational intelligence and communication technology (pp. 524–528). IEEE.

  17. Ansari, M., Afzali-Kusha, H., Ebrahimi, B., Navabi, Z., Afzali-Kusha, A., & Pedram, M. (2015). A near-threshold 7T sram cell with high write and read margins and low write time for sub-20 nm finfet technologies. Integration, 50, 91–106.

    Article  Google Scholar 

  18. Wen, L., Li, Z., & Li, Y. (2012). Differential-read 8T SRAM cell with tunable access and pull-down transistors. Electronics Letters, 48(20), 1260–1261.

    Article  Google Scholar 

  19. Kushwah, C. B., & Vishvakarma, S. K. (2015). A single-ended with dynamic feedback control 8T subthreshold sram cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(1), 373–377.

    Article  Google Scholar 

  20. Joshi, R. V., Kanj, R., & Ramadurai, V. (2010). A novel column-decoupled 8T cell for low-power differential and domino-based SRAM design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(5), 869–882.

    Article  Google Scholar 

  21. Pasandi, G., & Fakhraie, S. M. (2014). An 8T low-voltage and low-leakage half-selection disturb-free SRAM using bulk-CMOS and finfets. IEEE Transactions on Electron Devices, 61(7), 2357–2363.

    Article  Google Scholar 

  22. Pal, S., & Islam, A. (2015). Variation tolerant differential 8T SRAM cell for ultralow power applications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(4), 549–558.

    Article  Google Scholar 

  23. Liu, Z., & Kursun, V. (2008). Characterization of a novel nine-transistor SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) systems, 16(4), 488–492.

    Article  Google Scholar 

  24. Chang, I. J., Kim, J.-J., Park, S. P., & Roy, K. (2009). A 32 kb 10t sub-threshold sram array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE Journal of Solid-State Circuits, 44(2), 650–658.

    Article  Google Scholar 

  25. Lo, C.-H., & Huang, S.-Y. (2011). PPN based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE Journal of Solid-State Circuits, 46(3), 695–704.

    Article  Google Scholar 

  26. Pal, S., Bose, S., Ki, W.-H., & Islam, A. (2020). A highly stable reliable sram cell design for low power applications. Microelectronics Reliability, 105, 113503.

    Article  Google Scholar 

  27. Sharma, P., Gupta, S., Gupta, K., & Pandey, N. (2020). A low power subthreshold schmitt trigger based 12T SRAM bit cell with process-variation-tolerant write-ability. Microelectronics Journal, 97, 104703.

    Article  Google Scholar 

  28. Pal, S., Gupta, V., Ki, W. H., & Islam, A. (2019). Transmission gate-based 9T SRAM cell for variation resilient low power and reliable internet of things applications. IET Circuits, Devices and Systems, 13(5), 584–595.

    Article  Google Scholar 

  29. Chien, Y.-C., & Wang, J.-S. (2018). A 0.2 v 32-kb 10T SRAM with 41 nw standby power for iot applications. IEEE Transactions on Circuits and Systems I: Regular Papers, 65(8), 2443–2454.

    Article  MathSciNet  Google Scholar 

  30. Singh, B., Kumar, M., & Ubhi, J. S. (2019). Comparative analysis of standard 9T SRAM with the proposed low-power 9T SRAM. In Advances in signal processing and communication (pp. 541–551). Springer.

  31. Ahmad, S., Iqbal, B., Alam, N., & Hasan, M. (2018). Low leakage fully half-select-free robust SRAM cells with BTI reliability analysis. IEEE Transactions on Device and Materials Reliability, 18(3), 337–349.

    Article  Google Scholar 

  32. Sachdeva, A., & Tomar, V. K. (2020). Design of a stable low power 11-T static random access memory cell. Journal of Circuits, Systems and Computers, p. 2050206

  33. Seevinck, E., List, F. J., & Lohstroh, J. (1987). Static-noise margin analysis of MOS SRAM cells. IEEE Journal of Solid-State Circuits, 22(5), 748–754.

    Article  Google Scholar 

  34. Singh, J., Mohanty, S. P., & Pradhan, D. K. (2012). Robust SRAM designs and analysis. Berlin: Springer.

    Google Scholar 

  35. Gupta, S., Gupta, K., Calhoun, B. H., & Pandey, N. (2018). Low-power near-threshold 10T SRAM BIT cells with enhanced data-independent read port leakage for array augmentation in 32-nm CMOS. IEEE Transactions on Circuits and Systems I: Regular Papers, 66(3), 978–988.

    Article  Google Scholar 

  36. Arora, G., & Poonam, A. S. (2014). SNM analysis of SRAM cells at 45 nm, 32 nm and 22 nm technology. International Journal of Engineering Research and General Science, 2(4), 785–791.

    Google Scholar 

  37. Banga, H., & Agarwal, D. (2017). Single bit-line 10T SRAM cell for low power and high SNM. In 2017 international conference on recent innovations in signal processing and embedded systems (RISE) (pp. 433–438). IEEE.

  38. Ahmad, S., Gupta, M. K., Alam, N., & Hasan, M. (2016). Single-ended schmitt-trigger-based robust low-power SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(8), 2634–2642.

    Article  Google Scholar 

  39. Pilo, H., Barwin, J., Braceras, G., Browning, C., Burns, S., Gabric, J., Lamphier, S., Miller, M., Roberts, A. L., & Towler, F. (2006). An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage. In 2006 symposium on VLSI circuits, 2006. Digest of technical papers. (pp. 15–16). IEEE.

  40. Park, J. C., & Mooney III, V. J. (2006). Sleepy stack leakage reduction. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14(11), 1250–1263.

    Article  Google Scholar 

  41. Chuang, C.-T., Mukhopadhyay, S., Kim, J.-J., Kim, K., & Rao, R. (2007). High-performance SRAM in nanoscale CMOS: Design challenges and techniques. In 2007 IEEE international workshop on memory technology, design and testing (pp. 4–12). IEEE.

  42. Upadhyay, P., Kar, R., Mandal, D., & Ghoshal, S. P. (2014). Read stability and power analysis of a proposed novel 8 transistor static random access memory cell in 45 nm technology. Scientia Iranica. Transaction D, Computer Science and Engineering, Electrical, 21(3), 953.

    Google Scholar 

  43. Sharma, V., Gopal, M., Singh, P., Vishvakarma, S. K., & Chouhan, S. S. (2019). A robust, ultra low-power, data-dependent-power-supplied 11T SRAM cell with expanded read/write stabilities for internet-of-things applications. Analog Integrated Circuits and Signal Processing, 98(2), 331–346.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Harekrishna Kumar.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kumar, H., Tomar, V.K. A Review on Performance Evaluation of Different Low Power SRAM Cells in Nano-Scale Era. Wireless Pers Commun 117, 1959–1984 (2021). https://doi.org/10.1007/s11277-020-07953-4

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-020-07953-4

Keywords

Navigation