Abstract
The quantity of computer applications is increasing dramatically as the computer industry prospers. Meanwhile, even for one application, it has different requirements of performance and power in different scenarios. Although various processors with different architectures emerge to fit for the various applications in different scenarios, it is impossible to design a dedicated processor to meet all the requirements. Furthermore, dealing with uncertain processors significantly aggravates the burden of programmers and system integrators to achieve specific performance/power. In this paper, we propose elastic architecture (EA) to provide a uniform computing platform with high elasticity, i.e., the ratio of worst-case to best-case performance/power/performance-power trade-off, which can meet different requirements for different applications. It is achieved by dynamically adjusting architecture parameters (instruction set, branch predictor, data path, memory hierarchy, concurrency, status & control, and so on) on demand. The elasticity of our prototype implementation of EA, as Sim-EA, ranges from 3.31 to 14.34, with 5.41 in arithmetic average, for SPEC CPU2000 benchmark suites, which provides great flexibility to fulfill the different performance and power requirements in different scenarios. Moreover, Sim-EA can reduce the EDP (energy-delay product) for 31.14 % in arithmetic average compared with a baseline fixed architecture. Besides, some subsequent experiments indicate a negative correlation between application intervals’ lengths and their elasticities.
Similar content being viewed by others
Explore related subjects
Discover the latest articles, news and stories from top researchers in related subjects.References
Austin T, Larson E, Ernst D. SimpleScalar: An infrastructure for computer system modeling. Computer, 2002, 35(2): 59–67.
Kunkel S, Eickemeyer R, Lip M et al. A performance methodology for commercial servers. IBM Journal of Research and Development, 2000, 44(6): 851–872.
Kumar R, Zyuban V, Tullsen D M. Interconnections in multicore architectures: Understanding mechanisms, overheads and scaling. In Proc. the 32nd Annual Int. Symp. Computer Architecture (ISCA2005), June 2005, pp.408-419.
Li Y, Lee B, Brooks D et al. CMP design space exploration subject to physical constraints. In Proc. the 12th IEEE Symposium on High Performance Computer Architecture (HPCA2006), February 2006, pp.17-28.
Ïpek E, McKee S A, Caruana R et al. Efficiently exploring architectural design spaces via predictive modeling. In Proc. the 12th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-XII), October 2006, pp.195-206.
Lee B, Brooks D. Accurate and efficient regression modeling for microarchitectural performance and power prediction. In Proc. the 12th Int. Conf. Architectural Support for Programming Languages and Operating Systems (ASPLOS-XII), October 2006, pp.185-194.
Quinlan J R. Learning with continuous classes. In Proc. the 5th Australian Joint Conference on Artificial Intelligence (AI1992), November 1992, pp.343-348.
Wang Y, Witten I. Induction of model trees for predicting continuous classes. In Proc. the 9th European Conference on Machine Learning (ECML1997), April 1997, pp.128-137.
Gonzalez R, Horowitz M. Energy dissipation in general purpose microprocessors. IEEE Journal of Solid-State Circuits, 1996, 31(9): 1277–1284.
Mariani G, Avasare P, Vanmeerbeeck G et al. An industrial design space exploration framework for supporting runtime resource management on multi-core systems. In Proc. the Conference on Design, Automation and Test in Europe (DATE2010), March 2010, pp.196-201.
Hennessy J L, Patterson D A. Computer Architecture: A Quantitative Approach (3rd edition). San Francisco, USA: Morgan Kaufmann Publishers Inc., 2002.
Hoste K, Phansalkar A, Eeckhout L et al. Performance prediction based on inherent program similarity. In Proc. the 15th Int. Conf. Parallel Architectures and Compilation Techniques (PACT2006), Sept. 2006, pp.114-122.
Hoste K, Eeckhout L. Microarchitecture-independent work-load characterization. IEEE Micro, 2007, 27(3): 63–72.
Joshi A, Phansalkar A, Eeckhout L et al. Measuring bench-mark similarity using inherent program characteristics. IEEE Transaction on Computers, 2006, 55(6): 769–782.
Phansalkar A, Joshi A, John L K. Subsetting the SPEC CPU2006 benchmark suite. SIGARCH Computer Architecture News, 2007, 35(1): 69–76.
Folegnani D, González A. Energy-effective issue logic. In Proc. the 28th Annual International Symposium on Computer Architecture (ISCA2001), June 2001, pp.230-239.
Abella J, González A. On reducing register pressure and energy in multiple-banked register files. In Proc. the 21st Int. Conf. Computer Design (ICCD2003), Oct. 2003, pp.14-20.
Hughes C J, Srinivasan J, Adve S V. Saving energy with architectural and frequency adaptations for multimedia applications. In Proc. the 34th Annual ACM/IEEE Int. Symp. Microarchitecture, Dec. 2001, pp.250-261.
Balasubramonian R, Albonesi D, Buyuktosunoglu A, Dwarkadas S. Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures. In Proc. the 33rd Annual ACM/IEEE Int. Symp. Microarchitecture, Dec. 2000, pp.245-257.
Qureshi M K, Patt Y N. Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches. In Proc. the 39th Annual IEEE/ACM Int. Symp. Microarchitecture, Dec. 2006, pp.423-432.
Liu F, Jiang X, Solihin Y. Understanding how off-chip memory bandwidth partitioning in chip multiprocessors affects system performance. In Proc. the 16th Int. Symp. High Performance Computer Architecture, January 2010.
Kontorinis V, Shayan A, Tullsen D M, Kumar R. Reducing peak power with a table-driven adaptive processor core. In Proc. the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, December 2009, pp.189-200.
Ipek E, Kirman M, Kirman N, Martínez J F. Core fusion: Accommodating software diversity in chip multiprocessors. In Proc. the 34th Annual Int. Symp. Computer Architecture, June 2007, pp.186-197.
Dubach C, Jones T M, Bonilla E V et al. A predictive model for dynamic microarchitectural adaptivity control. In Proc. the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, December 2010, pp.485-496.
Chen Y J, Chen T S, Guo Q, Xu Z W, Zhang L. An elastic architecture adaptable to millions of application scenarios. In Proc. the 9th IFIP Int. Conf. Network and Parallel Computing, Sept. 2012, pp.188-195.
Sherwood T, Perelman E, Hamerly G, Calder B. Automatically characterizing large scale program behavior. In Proc. the 10th Int. Conf. Architectural Support for Programming Languages and Operating Systems, Oct. 2002, pp.45-57.
Wunderlich R E, Wenisch T F, Falsafi B, Hoe J C. SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling. In Proc. the 30th Annual Int. Symp. Computer Architecture, June 2003, pp.84-97.
Yi J J, Lilja D J, Hawkins D M. Improving computer architecture simulation methodology by adding statistical rigor. IEEE Transaction on Computers, 2005, 54(11): 1360–1373.
Genbrugge D, Eeckhout L. Chip multiprocessor design space exploration through statistical simulation. IEEE Transaction on Computers, 2009, 58(12): 1668–1681.
Chen Y, Huang Y J, Eeckhout L et al. Evaluating iterative optimization across 1000 datasets. In Proc. the ACM SIGPLAN Conf. Programming Language Design and Implementation, June 2010, pp.448-459.
Eyerman S, Eeckhout L. System-level performance metrics for multiprogram workloads. IEEE Micro, 2008, 28(3): 42–53.
Wang Z, O’Boyle M F. Mapping parallelism to multi-cores: A machine learning based approach. In Proc. the 14th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, Feb. 2009, pp.75-84.
Blaauw G A, Brooks F P. The structure of SYSTEM/360: Part I: Outline of the logical structure. IBM Systems Journal, 1964, 3(2): 119–135.
Stevens W Y. The structure of SYSTEM/360: Part II: System implementations. IBM Systems Journal, 1964, 3(2): 136–143.
Amdahl G. The structure of SYSTEM/360: Part III: Processing unit design considerations. IBM Systems Journal, 1964, 3(2): 144–164.
Author information
Authors and Affiliations
Corresponding author
Additional information
This work is partially supported by the National Natural Science Foundation of China under Grant Nos. 61003064, 61100163, 61133004, 61222204, 61221062, 61303158, the National High Technology Research and Development 863 Program of China under Grant No. 2012AA012202, the Strategic Priority Research Program of the Chinese Academy of Sciences under Grant No. XDA06010403, and the Ten Thousand Talent Program of China.
A preliminary version of this paper was published in the Proceedings of NPC 2012.
Electronic supplementary material
Below is the link to the electronic supplementary material.
ESM 1
(DOC 28 kb)
Rights and permissions
About this article
Cite this article
Wu, Y., Chen, YJ., Chen, TS. et al. An Elastic Architecture Adaptable to Various Application Scenarios. J. Comput. Sci. Technol. 29, 227–238 (2014). https://doi.org/10.1007/s11390-014-1425-x
Received:
Revised:
Published:
Issue Date:
DOI: https://doi.org/10.1007/s11390-014-1425-x