Skip to main content
Log in

Register Clustering Methodology for Low Power Clock Tree Synthesis

  • Regular Paper
  • Published:
Journal of Computer Science and Technology Aims and scope Submit manuscript

Abstract

Clock networks dissipate a significant fraction of the entire chip power budget. Therefore, the optimization for power consumption of clock networks has become one of the most important objectives in high performance IC designs. In contrast to most of the traditional studies that handle this problem with clock routing or buffer insertion strategy, this paper proposes a novel register clustering methodology in generating the leaf level topology of the clock tree to reduce the power consumption. Three register clustering algorithms called KMR, KSR and GSR are developed and a comprehensive study of them is discussed in this paper. Meanwhile, a buffer allocation algorithm is proposed to satisfy the slew constraint within the clusters at a minimum cost of power consumption. We integrate our algorithms into a classical clock tree synthesis (CTS) flow to test the register clustering methodology on ISPD 2010 benchmark circuits. Experimental results show that all the three register clustering algorithms achieve more than 20% reduction in power consumption without affecting the skew and the maximum latency of the clock tree. As the most effective method among the three algorithms, GSR algorithm achieves a 31% reduction in power consumption as well as a 4% reduction in skew and a 5% reduction in maximum latency. Moreover, the total runtime of the CTS flow with our register clustering algorithms is significantly reduced by almost an order of magnitude.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Pedram M, Rabaey J M. Power Aware Design Methodologies. Kluwer Academic Publisher, 2002.

  2. Cheon Y, Ho P H, Kahng A B, Reda S, Wang Q. Poweraware placement. In Proc. the 42nd Annual Design Automation Conference, Jun. 2005, pp.795–800.

  3. Donno M, Macii E, Mazzoni L. Poweraware clock tree planning. In Proc. the 2004 International Symposium on Physical Design, April 2004, pp.138–147.

  4. Lam T K, Yang X, Tang W C, Wu Y L. On applying erroneous clock gating conditions to further cut down power. In Proc. the 16th Asia and South Pacific Design Automation Conference, Jan. 2011, pp.509–514.

  5. Lu J, Mao X, Taskin B. Clock mesh synthesis with gated local trees and activity driven register clustering. In Proc. IEEE/ACM International Conference on Computer-Aided Design, Nov. 2012, pp.691–697.

  6. Igarashi M, Usami K, Nogami K et al. A low-power design method using multiple supply voltages. In Proc. the 1997 International Symposium on Low Power Electronics and Design, Aug. 1997, pp.36–41.

  7. Lin K Y, Lin H T, Ho T Y. An efficient algorithm of adjustable delay buffer insertion for clock skew minimization in multiple dynamic supply voltage designs. In Proc. the 16th Asia and South Pacific Design Automation Conference, Jan. 2011, pp.825–830.

  8. Li L, Sun J, Lu Y, Zhou H, Zeng X. Low power discrete voltage assignment under clock skew scheduling. In Proc. the 16th Asia and South Pacific Design Automation Conference, Jan. 2011, pp.515–520.

  9. Chao T H, Hsu Y C, Ho J M, Kahng A. Zero skew clock routing with minimum wirelength. IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, 1992, 39(11): 799–814.

    Article  MATH  Google Scholar 

  10. LiuWH, Li Y L, Chen H C. Minimizing clock latency range in robust clock tree synthesis. In Proc. the 15th Asia and South Pacific Design Automation Conference, Jan. 2010, pp.389–394.

  11. Shih X W, Cheng C C, Ho Y K, Chang Y W. Blockageavoiding buffered clocktree synthesis for clock latency-range and skew minimization. In Proc. the 15th Asia and South Pacific Design Automation Conference, Jan. 2010, pp.395–400.

  12. Lee D J, Markov I L. Contango: Integrated optimization of soc clock network. In Proc. the 2010 Conference on Design, Automation and Test in Europe, Mar. 2010, pp.1468–1473.

  13. Rakai L, Farshidi A, Behjat L,Westwick D. Buffer sizing for clock networks using robust geometric programming considering variations in buffer sizes. In Proc. the 2013 ACM International Symposium on Physical Design, Mar. 2013, pp.154–161.

  14. Singh J, Nookala V, Luo Z Q, Sapatnekar S. Robust gate sizing by geometric programming. In Proc. the 42nd Annual Design Automation Conference, Jun. 2005, pp.315–320.

  15. Vittal A, Marek-Sadowska M. Lowpower buffered clock tree design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1997, 16(9): 965–975.

    Article  Google Scholar 

  16. Lillis J, Cheng C K, Lin T T Y. Optimal wire sizing and buffer insertion for low power and a generalized delay model. IEEE Journal of Solid-State Circuits, 1996, 31(3): 437–447.

    Article  Google Scholar 

  17. Lu Y, Sze C, Hong X, Zhou Q, Cai Y, Huang L, Hu J. Register placement for low power clock network. In Proc. the 2005 Asia and South Pacific Design Automation Conference, Jan. 2005, pp.588–593.

  18. Hou W, Liu D, Ho P H. Automatic register banking for lowpower clock trees. In Proc. the 10th International Symposium on Quality Electronic Design, Mar. 2009, pp.647–652.

  19. Papa D, Alpert C, Sze C, Li Z, Viswanathan N, Nam G J, Markov I L. Physical synthesis with clock-network optimization for large systems on chips. IEEE Micro, 2011, 31(4): 51–62.

    Article  Google Scholar 

  20. Mehta A D, Chen Y P, Menezes N, Wong D, Pilegg L. Clustering and load balancing for buffered clock tree synthesis. In Proc. the 1997 IEEE International Conference on Computer Design: VLSI in Computers and Processors, Oct. 1997, pp.217–223.

  21. Shelar R S. An efficient clustering algorithm for low power clock tree synthesis. In Proc. the 2007 International Symposium on Physical Design, Mar. 2007, pp.181–188.

  22. Mitchell T. Machine Learning. McGraw Hill, 1997.

  23. Selim S Z, Ismail M A. K-means-type algorithms: A generalized convergence theorem and characterization of local optimality. IEEE Transactions on Pattern Analysis and Machine Intelligence, 1984, PAMI6(1): 81–87.

  24. Niu F, Zhou Q, Yao H, Cai Y, Yang J, Sze C N. Obstacleavoiding and slew-constrained buffered clock tree synthesis for skew optimization. In Proc. the 21st Edition of the Great Lakes Symposium on VLSI, May 2011, pp.199–204.

  25. Cormen T H, Leiserson C E, Rivest R L, Stein C. Introduction to Algorithms. Prentice-Hall India, 1998.

  26. Hu S, Alpert C J, Hu J, Karandikar S K, Li Z, Shi W, Sze C N. Fast algorithms for slew-constrained minimum cost buffering. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 2007, 26(11): 2009–2022.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chao Deng.

Additional information

This work was supported by the National Natural Science Foundation of China under Grant No. 61274031.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Deng, C., Cai, YC. & Zhou, Q. Register Clustering Methodology for Low Power Clock Tree Synthesis. J. Comput. Sci. Technol. 30, 391–403 (2015). https://doi.org/10.1007/s11390-015-1531-4

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11390-015-1531-4

Keywords

Navigation