Skip to main content
Log in

Temperature-Aware Electromigration Analysis with Current-Tracking in Power Grid Networks

  • Regular Paper
  • Published:
Journal of Computer Science and Technology Aims and scope Submit manuscript

Abstract

Electromigration (EM) is a severe reliability issue in power grid networks. The via array possesses special EM characteristics and suffers from Joule heating and current crowding, closely related to EM violations. In this study, a power grid EM analysis method was developed to solve temperature variation effects for the via array EM. The new method is based on the temperature-aware EM model, which considers the effects of self-heating and thermal coupling of interconnected lines in a power grid. According to the model, the proposed methodology introduces a locality-driven strategy and current tracking to perform full-chip EM assessment for multilayered power grids. The results show that temperature due to Joule heating indeed has significant impacts on the via EM failure. The results further demonstrate that the proposed method might reasonably improve efficiency while ensuring the accuracy of the analysis.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Wang X, Wang H, He J et al. Physics based electromigration modeling and assessment for multi-segment interconnects in power grid networks. In Proc. the 2017 Design, Automation Test in Europe Conference Exhibition, March 2017, pp.1727-1732. https://doi.org/10.23919/DATE.2017.7927272.

  2. Yan M, Cai Y, Wang J et al. An effective power grid optimization approach for the electromigration reliability. In Proc. the 2017 IEEE Computer Society Annual Symposium on VLSI, July 2017, pp.453-458. https://doi.org/10.1109/ISVLSI.2017.85.

  3. Cochran R, Reda S. Spectral techniques for high-resolution thermal characterization with limited sensor data. In Proc. the 46th ACM/IEEE Design Automation Conference, July 2009, pp.478-483. https://doi.org/10.1145/1629911.1630037.

  4. Sadiqbatcha S, Zhao Y, Zhang J et al. Machine learning based online full-chip heatmap estimation. In Proc. the 25th Asia and South Pacific Design Automation Conference, January 2020, pp.229-234. https://doi.org/10.1109/ASPDAC47756.2020.9045204.

  5. Li D, Marek-Sadowska M, Nassif S R. A method for improving power grid resilience to electromigration-caused via failures. IEEE Transactions on Very Large Scale Integration Systems, 2015, 23(1): 118-130. https://doi.org/10.1109/TVLSI.2014.2301458.

    Article  Google Scholar 

  6. Abbasinasab A, Mareksadowska M. Non-uniform temperature distribution in interconnects and its impact on electromigration. In Proc. the 2019 on Great Lakes Symposium on VLSI, May 2019, pp.117-122. https://doi.org/10.1145/3299874.3317973.

  7. Huang X, Sukharev V, Kim T et al. Electromigration recovery modeling and analysis under time-dependent current and temperature stressing. In Proc. the 21st Asia and South Pacific Design Automation Conference, January 2016, pp.244-249. https://doi.org/10.1109/ASPDAC.2016.7428018.

  8. Paik J M, Park H, Joo Y C. Effect of low-k dielectric on stress and stress-induced damage in Cu interconnects. Microelectronic Engineering, 2004, 71(3/4): 348-357. https://doi.org/10.1016/j.mee.2004.02.094.

    Article  Google Scholar 

  9. Wang J, Cai Y, Zhou Q. A power grids electromigration analysis with via array using current-tracing model. In Proc. the 2021 IEEE International Symposium on Circuits and Systems, May 2021. https://doi.org/10.1109/ISCAS51556.2021.9401432.

  10. Chiang T, Saraswat K. Closed-form analytical thermal model for accurate temperature estimation of multilevel ULSI interconnects. In Proc. the 2003 Symposium on VLSI Circuits. Digest of Technical Papers, June 2003, pp.275-278. https://doi.org/10.1109/VLSIC.2003.1221225.

  11. Mishra V, Jain P, Marella S K. Incorporating the role of stress on electromigration in power grids with via arrays. In Proc. the 54th ACM/EDAC/IEEE Design Automation Conference, June 2017, pp.1-6. https://doi.org/10.1145/3061639.3062266.

  12. Pan S H, Chang N. Fast thermal coupling simulation of on-chip hot interconnect for thermal-aware EM methodology. In Proc. the 65th Electronic Components and Technology Conference, May 2015, pp.1168-1175. https://doi.org/10.1109/ECTC.2015.7159743.

  13. Köse S, Friedman E G. Fast algorithms for IR voltage drop analysis exploiting locality. In Proc. the 48th ACM/EDAC/IEEE Design Automation Conference, June 2011, pp.996-1001. https://doi.org/10.1145/2024724.2024944.

  14. Najm F N, Sukharev V. Efficient simulation of electromigration damage in large chip power grids using accurate physical models (invited paper). In Proc. the 2019 IEEE International Reliability Physics Symposium, March 31-April 4, 2019. https://doi.org/10.1109/IRPS.2019.8720438.

  15. Black J R. Electromigration—A brief survey and some recent results. IEEE Transactions on Electron Devices, 1969, 16(4): 338-347. https://doi.org/10.1109/t-ed.1969.16754.

    Article  Google Scholar 

  16. Huang X, Sukharev V, Choy J H et al. Electromigration assessment for power grid networks considering temperature and thermal stress effects. Integration, 2016, 55: 307-315. https://doi.org/10.1016/j.vlsi.2016.04.001.

    Article  Google Scholar 

  17. Korhonen M A, BoRgesen P, Tu K N et al. Stress evolution due to electromigration in confined metal lines. Journal of Applied Physics, 1993, 73(8): 3790-3799. https://doi.org/10.1063/1.354073.

    Article  Google Scholar 

  18. Ajami A H, Bnerjee K, Pedram M et al. Analysis of non-uniform temperature-dependent interconnect performance in high performance ICs. In Proc. the 38th Design Automation Conference, June 2001, pp.567-572. https://doi.org/10.1145/378239.379025.

  19. Wang J, Cai Y, Yan M, Zhou Q. Composite optimization for electromigration reliability and noise in power grid networks. In Proc. the 2019 IEEE International Symposium on Circuits and Systems, May 2019. https://doi.org/10.1109/ISCAS.2019.8702122.

  20. Sengupta D, Mishra V, Sapatnekar S S. Predicting electromigration mortality under temperature and product lifetime specifications. In Proc. the 53rd Annual Design Automation Conference, June 2016, Article No. 43. https://doi.org/10.1145/2897937.2898070.

  21. Huang X, Yu T, Sukharev V et al. Physics-based electromigration assessment for power grid networks. In Proc. the 51st ACM/EDAC/IEEE Design Automation Conference, June 2014. https://doi.org/10.1145/2593069.2593180.

  22. Li D, Marek-Sadowska M, Nassif S. T-VEMA: A temperature- and variation-aware electromigration power grid analysis tool. IEEE Transactions on Very Large Scale Integration Systems, 2014, 23(10): 2327-2331. https://doi.org/10.1109/TVLSI.2014.2358678.

    Article  Google Scholar 

  23. Chau D S, Gupta A, Chiu C P et al. Impact of different flip-chip bump materials on bump temperature rise and package reliability. In Proc. the 2005 International Symposium on Advanced Packaging Materials: Processes, Properties and Interfaces, March 2005, pp.90-93. https://doi.org/10.1109/ISAPM.2005.1432054.

  24. Chiang T, Banerjee K, Saraswat K. Compact modeling and SPICE-based simulation for electrothermal analysis of multilevel ULSI interconnects. In Proc. the 2001 IEEE/ACM International Conference on Computer Aided Design, November 2001, pp.165-172. https://doi.org/10.1109/ICCAD.2001.968613.

  25. Nassif S R. Power grid analysis benchmarks. In Proc. the 2008 Asia and South Pacific Design Automation Conference, March 2008, pp.376-381. https://doi.org/10.1109/ASPDAC.2008.4483978.

  26. Kang L, Cai Y, Zou Y et al. Fast decoupling capacitor budgeting for power/ground network using random walk approach. In Proc. the 2007 Asia South Pacific Design Automation Conference, January 2007, pp.751-756. https://doi.org/10.1109/ASPDAC.2007.358079.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jing Wang.

Supplementary Information

ESM 1

(PDF 338 kb)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Wang, J., Cai, YC. & Zhou, Q. Temperature-Aware Electromigration Analysis with Current-Tracking in Power Grid Networks. J. Comput. Sci. Technol. 36, 1133–1144 (2021). https://doi.org/10.1007/s11390-021-0909-8

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11390-021-0909-8

Keywords

Navigation