Skip to main content
Log in

An Optimization Technique for PMF Estimation in Approximate Circuits

  • Regular Paper
  • Published:
Journal of Computer Science and Technology Aims and scope Submit manuscript

Abstract

As an emerging computing technology, approximate computing enables computing systems to utilize hardware resources efficiently. Recently, approximate arithmetic units have received extensive attention and have been employed as hardware modules to build approximate circuit systems, such as approximate accelerators. In order to make the approximate circuit system meet the application requirements, it is imperative to quickly estimate the error quality caused by the approximate unit, especially in the high-level synthesis of the approximate circuit. However, there are few studies in the literature on how to efficiently evaluate the errors in the approximate circuit system. Hence, this paper focuses on error evaluation techniques for circuit systems consisting of approximate adders and approximate multipliers, which are the key hardware components in fault-tolerant applications. In this paper, the characteristics of probability mass function (PMF) based estimation are analyzed initially, and then an optimization technique for PMF-based estimation is proposed with consideration of these features. Finally, experiments prove that the optimization technology can reduce the time required for PMF-based estimation and improve the estimation quality.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

References

  1. Jiang H L, Santiago F J H, Mo H, Liu L B, Han J. Approximate arithmetic circuits: A survey, characterization, and recent applications. Proceedings of the IEEE, 2020, 108(12): 2108–2135. https://doi.org/10.1109/JPROC.2020.3006451.

    Article  Google Scholar 

  2. Liu W Q, Cao T, Yin P P, Zhu Y Y, Wang C H, Swartzlander E E, Lombardi F. Design and analysis of approximate redundant binary multipliers. IEEE Trans. Computers, 2019, 68(6): 804–819. https://doi.org/10.1109/TC.2018.2890222.

    Article  MathSciNet  MATH  Google Scholar 

  3. Liu W Q, Qian L Y, Wang C H, Jiang H L, Han J, Lombardi F. Design of approximate radix-4 booth multipliers for error-tolerant computing. IEEE Trans. Computers, 2017, 66(8): 1435–1441. https://doi.org/10.1109/TC.2017.2672976.

    Article  MathSciNet  MATH  Google Scholar 

  4. Camus V, Cacciotti M, Schlachter J, Enz C. Design of approximate circuits by fabrication of false timing paths: The carry cut-back adder. IEEE Journal on Emerging and Selected Topics in Circuits and System, 2018, 8(4): 746–757. https://doi.org/10.1109/JETCAS.2018.2851749.

    Article  Google Scholar 

  5. Dou Y Q, Wang C H, Gu C Y, O'Neill M, Liu W Q. Design and analysis of hardware Trojans in approximate circuits. Electronics Letters, 2022, 58(5): 197–199. https://doi.org/10.1049/ell2.12405.

    Article  Google Scholar 

  6. Liu W Q, Lombardi F, Shulte M. A retrospective and prospective view of approximate computing. Proceedings of the IEEE, 2020, 108(3): 394–399. https://doi.org/10.1109/JPROC.2020.2975695.

    Article  Google Scholar 

  7. Mittal S. A survey of techniques for approximate computing. ACM Computing Surveys, 2016, 48(4): Article No. 62. https://doi.org/10.1145/2893356.

  8. Sidiroglou-Douskos S, Misailovic S, Hoffmann H, Rinard M. Managing performance vs. accuracy trade-offs with loop perforation. In Proc. the 19th ACM SIGSOFT Symposium and the 13th European Conference on FOUNDATIONS of Software Engineering, Sept. 2011, pp.124–134. https://doi.org/10.1145/2025113.2025133.

  9. Esposito D, Strollo A G M, Napoli E, Caro D D, Petra N. Approximate multipliers based on new approximate compressors. IEEE Trans. Circuits and Systems I: Regular Papers, 2018, 65(12): 4169–4182. https://doi.org/10.1109/TCSI.2018.2839266.

    Article  Google Scholar 

  10. Han J, Orshansky M. Approximate computing: An emerging paradigm for energy-efficient design. In Proc. the 18th IEEE European Test Symposium, May 2013. https://doi.org/10.1109/ETS.2013.6569370.

  11. Mahdiani H R, Ahmadi A, Fakhraie S M, Lucas C. Bioinspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. IEEE Trans. Circuits and Systems I: Regular Papers, 2010, 57(4): 850–862. https://doi.org/10.1109/TCSI.2009.2027626.

    Article  MathSciNet  Google Scholar 

  12. Waris H, Wang C H, Liu W Q. Hybrid low radix encoding-based approximate booth multipliers. IEEE Trans. Circuits and Systems II: Express Briefs, 2020, 67(12): 3367–3371. https://doi.org/10.1109/TCSII.2020.2975094.

    Article  Google Scholar 

  13. Castro-Godínez J, Mateus-Vargas J, Shafique M, Henkel J. AxHLS: Design space exploration and high-level synthesis of approximate accelerators using approximate functional units and analytical models. In Proc. the 39th International Conference on Computer-Aided Design, Nov. 2020, Article No. 117. https://doi.org/10.1145/3400302.3415732.

  14. Dou Y Q, Wang C H, Woods R, Liu W Q. ENAP: An efficient number-aware pruning framework for design space exploration of approximate configurations. IEEE Trans. Circuits Systems I: Regular Papers. https://doi.org/10.1109/TCSI.2023.3252483.

  15. Karakoy M, Kislal O, Tang X L, Kandemir M T, Arunachalam M. Architecture-aware approximate computing. Proceedings of the ACM on Measurement and Analysis of Computing Systems, 2019, 3(2): Article No. 38. https://doi.org/10.1145/3341617.3326153.

  16. Li C F, Luo W, Sapatnekar S S, Hu J. Joint precision optimization and high level synthesis for approximate computing. In Proc. the 52nd Annual Design Automation Conference, Jun. 2015, Article No. 104. https://doi.org/10.1145/2744769.2744863.

  17. Sengupta D, Snigdha F S, Hu J, Sapatnekar S S. An analytical approach for error PMF characterization in approximate circuits. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, 2019, 38(1): 70–83. https://doi.org/10.1109/TCAD.2018.2803626.

    Article  Google Scholar 

  18. Castro-Godínez J, Esser S, Shafique M, Pagani S, Henkel J. Compiler-driven error analysis for designing approximate accelerators. In Proc. the 2018 Design, Automation & Test in Europe Conference & Exhibition, Mar. 2018, pp.1027–1032. https://doi.org/10.23919/DATE.2018.8342163.

  19. Huang J, Lach J, Robins G. Analytic error modeling for imprecise arithmetic circuits. In Proc. the 2011 IEEE Workshop on Silicon Errors in Logic—System Effects, Mar. 2011, pp.64–69.

  20. Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J. Probabilistic error modeling for approximate adders. IEEE Trans. Computers, 2017, 66(3): 515–530. https://doi.org/10.1109/TC.2016.2605382.

    Article  MathSciNet  MATH  Google Scholar 

  21. Mrazek V, Hrbacek R, Vasicek Z, Sekanina L. EvoApprox8b: Library of approximate adders and multipliers for circuit design and benchmarking of approximation methods. In Proc. the 2017 Design, Automation & Test in Europe Conference & Exhibition, Mar. 2017, pp.258–261. https://doi.org/10.23919/DATE.2017.7926993.

  22. Martin E, Sentieys O, Dubois H, Philippe J L. GAUT: An architectural synthesis tool for dedicated signal processors. In Proc. EURO-DAC 93 and EURO-VHDL 93-European Design Automation Conference, Sept. 1993, pp.14–19. https://doi.org/10.1109/EURDAC.1993.410610.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yu-Qin Dou.

Supplementary Information

ESM 1

(PDF 128 kb)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Dou, YQ., Wang, CH. An Optimization Technique for PMF Estimation in Approximate Circuits. J. Comput. Sci. Technol. 38, 289–297 (2023). https://doi.org/10.1007/s11390-023-2544-z

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11390-023-2544-z

Keywords

Navigation