Skip to main content
Log in

Key characterization factors of accurate power modeling for FinFET circuits

FinFET 电路功耗影响因素分析与功耗库模型

  • Research Paper
  • Published:
Science China Information Sciences Aims and scope Submit manuscript

Abstract

Due to its excellent device features, manufacture process compatibility and diversity of the circuit structures, The FinFET is considered appropriate candidate for the conventional bulk-MOSFET in sub-22nm technology nodes. However, the power estimation CAD tools for FinFET are missing at the moment, which mainly results from the absence of FinFET power analysis and FinFET power model. Three key factors for FinFET power model are: the dimension of the look-up-tables, that to find out the most significant factors that influence FinFET power and to make them as indexes for the look-up-tables; the distance between sampling points; and the interpolation method. In this paper, various factors that may contribute to the FinFET power consumption are evaluated. Of all the factors, the continuous ones are compared with sensitivity method. As to other discrete factors, methods of building them in power model are given according to the features of the each factor and the way it influences the power. Based on the simulation result, standard cell power library model for FinFET is proposed. The research work lays foundation for accurate power analysis and modeling for high-level power analysis of FinFET circuits. Besides, these key factors are also crucial for low-power FinFET circuit design.

摘要

创新点

FinFET由于具有良好的器件特性、制程兼容性和多种电路结构, 被认为是在22nm以下制程工艺中替代传统体硅MOSFET最有效的器件。 然而, 针对FinFET的功耗分析在现阶段仍然缺失。 本文针对FinFET不同的电路结构特点, 采用PTM 32nm FinFETs模型在HSpice上进行了详尽的功耗来源与影响因素分析: 针对电路连接模式、背栅电压、输入信号歪斜、输出电容负载、电路输入状态、以前的状态、时序动作、温度等一些可能对功耗造成影响的因素进行了一一探讨。 需要特别关注的是, 与体硅MOSFET相比, 双栅FinFET器件的阈值电压增加了一个背栅电压的控制量, 本文针对这一FinFET特点进行了着重探讨。 通过量化和比较所有的功耗影响因素, 改进了已有的功耗库模型。 本文对于低功耗设计人员与CAD软件设计人员具有指导和借鉴意义。

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Jiang Y M, Huang S Y, Cheng K T, et al. A hybrid power model for RTL power estimation. In: Asia and South Pacific Design Automation Conference, Yokohama, 1998. 551–556

    Google Scholar 

  2. Hsieh C T, Wu Q, Ding C S, et al. Statistical sampling and regression analysis for RT-Level power evaluation. In: IEEE/ACM International Conference on Computer-Aided Design, San Jose, 1996. 583–588

    Google Scholar 

  3. Najm F N. A survey of power estimation techniques in VLSI circuits. IEEE Trans Very Large Scale Integr Syst, 2002, 2: 446–455

    Article  Google Scholar 

  4. Synopsys Inc. CCS Power Technical White Paper. 2006

    Google Scholar 

  5. Cadence Design Systems Inc. Effective Current Source Model (ECSM). Datasheet, 2007

    Google Scholar 

  6. Keunwoo K, Das K K, Joshi R V, et al. Leakage power analysis of 25-nm double-gate CMOS devices and circuits. IEEE Trans Electron Dev, 2005, 52: 980–986

    Article  Google Scholar 

  7. Inaba S, Okano K, Izumida T, et al. FinFET: the prospective multi-gate device for future SoC applications. In: Proceedings of the 32nd European Solid-State Circuits Conference, Montreux, 2006. 50–53

    Google Scholar 

  8. Xie Q, Liang R R, Wang J, et al. Nanoscale triple-gate FinFET design considerations based on an analytical model of short-channel effects. Sci China Inf Sci, 2014, 57: 042404

    Google Scholar 

  9. Swahn B, Hassoun S. Electro-thermal analysis of multi-Fin devices. IEEE Trans Very Large Scale Integr Syst, 2008, 16: 816–829

    Article  Google Scholar 

  10. Liu Y X, Masahara M, Kenichi I, et al. Flexible threshold voltage 4-terminal FinFETs. In: International Conference on Integrated Circuit Design and Technology, Austin, 2004. 91–96

    Google Scholar 

  11. Liu Y X, Masahara M, Kenichi I, et al. Flexible threshold voltage FinFETs with independent double gates and an ideal rectangular cross-section Si-Fin channel. IEDM’03 Technical Digest, 2003. 18.8.1–18.8.3

    Google Scholar 

  12. Najm F N. A survey of power estimation techniques in VLSI circuits. IEEE Trans Very Large Scale Integr Syst, 1994, 2: 446–455

    Article  Google Scholar 

  13. Lin J Y, Liu T C, Shen W Z. A cell-based power estimation in CMOS combinational circuits. In: IEEE/ACM International Conference on Computer-Aided Design, San Jose, 1994. 304–309

    Google Scholar 

  14. Alioto M. Comparative evaluation of layout density in 3T, 4T, and MT FinFET standard cells. IEEE Trans Very Large Scale Integr Syst, 2011, 19: 751–762

    Article  Google Scholar 

  15. Muttreja A, Agarwal N, Jha N K. CMOS logic design with independent-gate FinFETs. In: International Conference on Computer Design, Lake Tahoe, 2007. 560–567

    Google Scholar 

  16. Trivedi V P, Fossum J G, Zhang W. Threshold voltage and bulk inversion effects in nonclassical CMOS devices with undoped ultra-thin bodies. Solid State Electron, 2007, 51: 170–178

    Article  Google Scholar 

  17. Chaudhuri S, Mishra P, Jha N K. Accurate leakage estimation for FinFET standard cells using the response surface methodology. In: International Conference on VLSI Design (VLSID), Hyderabad, 2012. 238–244

    Google Scholar 

  18. Garduo S I, Cerdeira A, Estrada M. Gate leakage currents modeling for oxynitride gate dielectric in double gate MOSFETs. In: International Conference on Electrical Engineering Computing Science and Automatic Control (CCE), Merida City, 2011. 1–5

    Google Scholar 

  19. Shrivastava M, Agrawal M, Mahajan S, et al. Physical insight toward heat transport and an improved electrothermal modeling framework for FinFET architectures. IEEE Trans Electron Dev, 2012, 59: 1353–1363

    Article  Google Scholar 

  20. Choi J H, Bansal A, Meterelliyoz M, et al. Leakage power dependent temperature estimation to predict thermal runaway in FinFET circuits. In: IEEE/ACM International Conference on Computer-Aided Design, San Jose, 2006. 583–586

    Google Scholar 

  21. Choi J H, Bansal A, Meterelliyoz M, et al. Self-consistent approach to leakage power and temperature estimation to predict thermal runaway in FinFET circuits. IEEE Trans Comput-Aid Design Integr Circ Syst, 2007, 26: 2059–2068

    Article  Google Scholar 

  22. Choi J H, Bansal A, Meterelliyoz M, et al. Simulation of self-heating effects in 30 nm gate length FinFET. In: International Conference on Ultimate Integration of Silicon, Udine, 2007. 2059–2068

    Google Scholar 

  23. Bansal A, Meterelliyoz M, Singh S, et al. Compact thermal models for estimation of temperature-dependent power/performance in FinFET technology. In: Asia and South Pacific Conference on Design Automation, Yokohama, 2006. 237–242

    Google Scholar 

  24. Tsai W C, Shung C B, Wang D C. Accurate logic-level power simulation using glitch filtering and estimation. In: IEEE Asia Pacific Conference on Circuits and Systems, Seoul, 1996. 314–317

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to KaiSheng Ma or XiaoXin Cui.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Ma, K., Cui, X., Liao, K. et al. Key characterization factors of accurate power modeling for FinFET circuits. Sci. China Inf. Sci. 58, 1–13 (2015). https://doi.org/10.1007/s11432-014-5169-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11432-014-5169-6

Keywords

关键词

Navigation