Skip to main content
Log in

Design of duel-core connected mesh topology and fine-grained fault-tolerant mechanism for 3D optical network-on-chip

  • Research Paper
  • Published:
Science China Information Sciences Aims and scope Submit manuscript

Abstract

This paper proposes a fault-tolerant mechanism including a reliable three-dimensional (3D) topology structure named 3D DCMesh (3D duel-core connected mesh) and a fault-tolerant routing algorithm for 3D optical network-on-chip (3D ONoC). In 3D DCMesh, each intellectual property (IP) core connects two optical routers (ORs) with perpendicular physical locations. Thus, every IP core has an additional path selection to play a certain role in redundant protection since it breaks the traditional thinking that each IP core connects only one OR. On the other hand, the previous fault-tolerant algorithm rudely treats the faulty ORs as invalid, resulting in low utilization of resources inside ORs. Hence, this paper proposes a fine-grained fault-tolerant algorithm based on microring resonator faults (FTM-MR), where some ORs along the transmission path can still work normally even if their internal MRs lose efficiency. The simulation results show that the solution in this study outperforms the previous related work by 10.86%–19.97%, 6.75%–8.83%, and 13.08%–18.8% for average insertion loss, crosstalk noise, and signal-to-noise ratio (SNR), respectively, under different topology sizes without OR-fault. It can improve the insertion loss performance, crosstalk noise, and the SNR by a maximum of 67.41%, 14.2%, and 187.63%, respectively, when the node failure rates are in the range of 50%.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Tao J, Qiu S, Liu S, et al. Stealth-ACK: stealth transmissions of NoC acknowledgements. Sci China Inf Sci, 2017, 60: 092102

    Article  Google Scholar 

  2. Singh S, Ravindra J, Naik B R. Proffering secure energy aware network-on-chip (Noc) using incremental cryptogine. Sustain Comput-Inf Syst, 2022, 35: 100682

    Google Scholar 

  3. Li S, Tian S, Kang Z, et al. A multi-objective LSM/NoC architecture co-design framework. J Syst Archit, 2021, 116: 102154

    Article  Google Scholar 

  4. Niknia F, Hakami V, Rezaee K. An SMDP-based approach to thermal-aware task scheduling in NoC-based MPSoC platforms. J Parall Distrib Comput, 2022, 165: 79–106

    Article  Google Scholar 

  5. Fan W, Fan J, Zhang Y, et al. Communication and performance evaluation of 3-ary n-cubes onto network-on-chips. Sci China Inf Sci, 2022, 65: 179101

    Article  MathSciNet  Google Scholar 

  6. Abdollahi M, Mohammadi S. Vulnerability assessment of fault-tolerant optical network-on-chips. J Parall Distrib Comput, 2020, 145: 140–159

    Article  Google Scholar 

  7. Seyednezhad R, Derakhshanfard N, Heikalabad S R. Routing design in optical networks-on-chip based on gray code for optical loss reduction. Optik, 2020, 228: 166198

    Article  Google Scholar 

  8. Sharma K, Sehgal V K. Energy-efficient and sustainable communication in optical networks on chip. Sustain Comput-Inf Syst, 2020, 28: 100426

    Google Scholar 

  9. Li M, Liu W, Duong L H K, et al. Contention-aware routing for thermal-reliable optical networks-on-chip. IEEE Trans Comput-Aided Des Integr Circ Syst, 2021, 40: 260–273

    Article  Google Scholar 

  10. Guo P, Hou W, Guo L, et al. Potential threats and possible countermeasures for photonic network-on-chip. IEEE Commun Mag, 2020, 58: 48–53

    Article  Google Scholar 

  11. Hou W, Guo P, Guo L, et al. O-star: an optical switching architecture featuring mode and wavelength-division multiplexing for on-chip many-core systems. J Lightwave Technol, 2022, 40: 24–36

    Article  Google Scholar 

  12. Wei W, Gu H, Deng W, et al. ABL-TC: a lightweight design for network traffic classification empowered by deep learning. Neurocomputing, 2022, 489: 333–344

    Article  Google Scholar 

  13. Yan H, Xie Y Y, Yang X P, et al. A novel algorithm for reducing the power loss of routing paths in ONoCs. In: Proceedings of International Conference on Wireless Communications and Signal Processing, 2020. 325–330

  14. Wang H, Petracca M, Biberman A, et al. Nanophotonic optical interconnection network architecture for on-chip and off-chip communications. In: Proceedings of Conference on Optical Fiber Communication/national Fiber Optic Engineers Conference, 2008. 1–3

  15. Chan J, Hendry G, Biberman A, et al. Architectural exploration of chip-scale photonic interconnection network designs using physical-layer analysis. J Lightwave Technol, 2010, 28: 1305–1315

    Article  Google Scholar 

  16. Gu H, Wang Z, Zhang B, et al. Time-division-multiplexing-wavelength-division-multiplexing-based architecture for ONoC. J Opt Commun Netw, 2017, 9: 351–363

    Article  Google Scholar 

  17. Sinha D, Roy A, Kumar K V, et al. Dn-FTR: fault-tolerant routing algorithm for mesh based network-on-chip. In: Proceedings of the 4th International Conference on Recent Advances in Information Technology, 2018. 1–5

  18. Khichar J, Choudhary S, Mahar R. Fault tolerant dynamic XY-YX routing algorithm for network on-chip architecture. In: Proceedings of International Conference on Intelligent Computing and Control, 2017. 1–6

  19. He X Y, Hou W G, Qiu W J, et al. Thermal tuning algorithm of a single microring resonator based on neural network. In: Proceedings of Asia Communications and Photonics Conference, 2021. 1–3

  20. Priya S, Agarwal S, Kapoor H K. Fault tolerance in network on chip using bypass path establishing packets. In: Proceedings of the 31st International Conference on VLSI Design and the 17th International Conference on Embedded Systems, 2018. 457–458

  21. Noriki A, Lee K, Bea J, et al. Through-silicon photonic via and unidirectional coupler for high-speed data transmission in optoelectronic three-dimensional LSI. IEEE Electron Dev Lett, 2012, 33: 221–223

    Article  Google Scholar 

  22. Chen K C J, Chao C H, Wu A Y A. Thermal-aware 3D network-on-chip (3D NoC) designs: routing algorithms and thermal managements. IEEE Circ Syst Mag, 2015, 15: 45–69

    Article  Google Scholar 

  23. Ye Y, Xu J, Huang B, et al. 3-D mesh-based optical network-on-chip for multiprocessor system-on-chip. IEEE Trans Comput-Aided Des Integr Circ Syst, 2013, 32: 584–596

    Article  Google Scholar 

  24. Zhu K X, Zhang B W, Tan W, et al. Votex: a non-blocking optical router design for 3D optical network-on-chip. In: Proceedings of the 14th International Conference on Optical Communications and Networks, 2015. 1–3

  25. Guo P, Hou W, Guo L, et al. Low insertion loss and non-blocking microring-based optical router for 3D optical network-on-chip. IEEE Photon J, 2018, 10: 1–10

    Google Scholar 

  26. Zhao J, Gong Y, Wei T, et al. 3D-DMONoC: a new topology for optical network on chip. In: Proceedings of International Conference on Optical Communications & Networks, 2016. 1–3

  27. Guo P, Hou W, Guo L, et al. Fault-tolerant routing mechanism in 3D optical network-on-chip based on node reuse. IEEE Trans Parallel Distrib Syst, 2019, 31: 547–564

    Article  Google Scholar 

  28. Wang Y, Gu H, Tian Y, et al. A scalable low-power optical chip: realization and static loss analysis. IEEE Photon Technol Lett, 2022, 34: 463–466

    Article  Google Scholar 

  29. Guo P, Hou W, Guo L. Designs of low insertion loss optical router and reliable routing for 3D optical network-on-chip. Sci China Inf Sci, 2016, 59: 102302

    Article  Google Scholar 

  30. Wei W, Gu H, Wang K, et al. Multi-dimensional resource allocation in distributed data centers using deep reinforcement learning. IEEE Trans Netw Serv Manage, 2022, doi: https://doi.org/10.1109/TNSM.2022.3213575

  31. Guo P, Zhou N, Hou W, et al. StarLight: a photonic neural network accelerator featuring a hybrid mode-wavelength division multiplexing and photonic nonvolatile memory. Opt Express, 2022, 30: 37051–37065

    Article  Google Scholar 

  32. Chen K C, Lin S Y, Shen W C, et al. A scalable built-in self-recovery (BISR) VLSI architecture and design methodology for 2D-mesh based on-chip networks. Des Autom Embed Syst, 2011, 15: 111–132

    Article  Google Scholar 

  33. Feng C, Lu Z, Jantsch A, et al. Addressing transient and permanent faults in NoC with efficient fault-tolerant deflection router. IEEE Trans VLSI Syst, 2013, 21: 1053–1066

    Article  Google Scholar 

  34. Li X, Yan G, Ye J, et al. Fault tolerance on-chip: a reliable computing paradigm using self-test, self-diagnosis, and self-repair (3S) approach. Sci China Inf Sci, 2018, 61: 112102

    Article  Google Scholar 

Download references

Acknowledgements

This work was supported in part by National Natural Science Foundation of China (Grant Nos. 62205043, 62222103, 62071076, 62075024, 62221005), Chongqing Municipal Education Commission (Grant No. CXQT21019), and Nature Science Foundation of Chongqing (Grant No. CSTB2022NSCQ-MSX1334).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Weigang Hou.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Guo, P., He, X., Yang, Y. et al. Design of duel-core connected mesh topology and fine-grained fault-tolerant mechanism for 3D optical network-on-chip. Sci. China Inf. Sci. 66, 212303 (2023). https://doi.org/10.1007/s11432-022-3708-2

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s11432-022-3708-2

Keywords

Navigation