Skip to main content
Log in

A coarse-grain reconfigurable architecture for multimedia applications featuring subword computation capabilities

  • Special Issue
  • Published:
Journal of Real-Time Image Processing Aims and scope Submit manuscript

Abstract

This paper presents the design and the implementation of a coarse-grain reconfigurable machine used as an accelerator for a programmable RISC core, to speed up the execution of computationally demanding tasks like multimedia applications. We created a VHDL model of the proposed architecture and implemented it on a FPGA board for prototyping purposes; then we mapped on our architecture some DSP and image processing algorithms as a benchmark. In particular, we provided the proposed architecture with subword computation capabilities, which turns out to be extremely effective especially when dealing with image processing algorithms, achieving significant benefits in terms of speed and efficiency in resource usage. To create the configuration bitstream (configware) we created a tool based on a graphical user interface (GUI) which provides a first step towards the automation of the programming flow of our design: the tool is meant to ease the life of the programmer, relieving him from the burden of calculating the configuration bits by hand. Synthesis results indicate that the area occupation and the operating frequency of our design are reasonable also when compared to other similar design. In addition to this, the amount of clock cycles taken by our machine to perform a given algorithm is orders of magnitude smaller than the one required by a corresponding software implementation on a RISC microprocessor.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4

Similar content being viewed by others

References

  1. Todman, T.J., Constantinides, G.A., Wilton, S.J.E., Mencer, O., Luk, W., Cheung, P.Y.K.: Reconfigurable computing: architectures and design methods. IEE Proc. Comput. Digit. Technol. 152(2) (2005)

  2. Mei, B., Vernalde, S., Verkest, D., De Man, H., Lauwereins, R.: ADRES: An Architecture with Tightly Coupled VLIW Processor and Coarse-Grained Reconfigurable Matrix. In: Proc. of FPL 2003, pp. 61–70

  3. Vassiliadis, S., Silc, J., Wong, S., Gaydadjiev, G., Bertels, K., Kuzmanov, G., Moscu Panainte, E.: The MOLEN polymorphic processor. IEEE Trans. Comput. (53)11 (2004)

  4. Mirsky, E., DeHon, A.: MATRIX: a reconfigurable computing architecture with configurable instruction and deployable resources. In: Proc. of the 1996 IEEE Symposium on FPGAs for Custom Computing Machines (FCCM’96, April 1996)

  5. Ristimaki, T., Nurmi, J.: Reprogrammable algorithm accelerator ip block. In: Proc. IFIP VLSI-SOC, pp. 228–232 (2003)

  6. Miyamori, T., Olukotun, K.: A quantitative analisys of reconfigurable coprocessors for multimedia applications. In: Proc. IEEE Symposium on FPGAs for Custom Computing Machines, pp. 2–11 (1998)

  7. Heysters, P.M., Rauwerda, G.K., Smit, L.T.: A Flexible, Low Power, High Performance DSP IP Core for Programmable Systems-on-Chip. Visited on Jan 2007 http://www.us.design-reuse.com/articles/article12159.html

  8. Goldstein, S.C., Schmit, H., Budiu, M., Cadambi, S., Moe, M., Reed Taylor, R.: PipeRench: a reconfigurable architecture and compiler. IEEE Comput. 70–77 (2000)

  9. http://www.siliconhive.com

  10. Hauser, J.R., Wawrzynek, J.: Garp: a MIPS processor with a reconfigurable coprocessor. IEEE Symposium on FPGAs for Custom Computing Machines, Napa Valley, pp. 12–21, April 1997

  11. Hartenstein, R., Hertz, M., Hoffmann, T., Nageldinger, U.: Using the Kress array for reconfigurable computing. In: Proc. SPIE 3526, Bellingham, pp. 150–161, 2–3 Nov 1998

  12. Singh, H., Lee, M.H., Lu, G., Kurdahi, F.G., Bagherzadeh, N., Lang, T., Heaton, R., Filho, E.M.C.: MorphoSys: an integrated re-configurable architecture. In: Proc. of the NATO Symposium on System Concepts and Integration, Monterey, April 1998

  13. Campi, F., Mucci, C.: Run-time reconfigurable processors. In: Nurmi, J. (ed.) Processor Design-System-On-Chip Computing for ASICs and FPGAs, pp. 177–208. Springer, Heidelberg (2007)

  14. Brunelli, C., Cinelli, F., Nurmi, J.: A vhdl model and implementation of a coarse-grain reconfigurable coprocessor for a risc core. In: Proc. of the 2nd Conference on Ph.D. Research in MicroElectronics and Electronics (PRIME), pp. 229–232, June 2006

  15. Major, A., Nousias, I., Khawam, S., Milward, M., Yi, Y., Arslan, T.: H.264/AVC in-loop de-blocking filter targeting a dynamically reconfigurable instruction cell architecture. In: Proc. of the NASA/ESA Conference on Adaptive Hardware and Systems(AHS 2007), Edinburgh, August 2007

  16. Becker, J., Thomas, A., Vorbach, M., Baumgarte, V.: An industrial/academic Configurable System-on-Chip Project (CsoC): coarse-grain XPP-/Leon-based architecture integration. In: Proc. from Design, Automation and Test in Europe Conference and Exhibition (DATE’03), Munich, 03–07 March 2003

  17. http://www.altera.com/products/devices/stratix2/features/dsp/st2- dsp_block.html

  18. http://coffee.tut.fi

  19. Singh, H., Lee, M.H., Lu, G., Kurdahi, F.G., Bagherzadeh, N.: MorphoSys: an reconfigurable architecture for multimedia applications. In: Proc. of the XI Brazilian Symposium on Integrated Circuit Design, Rio De Janeiro, Brasil, p. 134, September 1998

  20. Lu, G., Singh, H., Ming-Hau, L., Bagherzadeh, N., Kurdahi, F.J., Filho, E.M.C., Castro-Alves, V.: The MorphoSys dynamically reconfigurable System-on-Chip. In: Proc. of the First NASA/DoD Workshop on Evolvable Hardware, Pasadena, pp. 152–160, July 1998

  21. Kamalizad, A.H., Pan, C., Bagherzadeh, N.: Fast parallel FFT on a reconfigurable computation platform. In: Proc. of the 15th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD03), Sao Paulo, p. 254, November 2003

  22. Veredas, F.J., Scheppler, M., Moffat, W., Bingfeng, M.: Custom implementation of the coarse-grained reconfigurable ADRES architecture for multimedia purposes. In: Proc. of the International Conference on Field Programmable Logic and Applications (FPL2005), Tampere, pp. 106–111, August 2005

  23. Heysters, P.M., Smith, G.J.M.: Mapping of DSP algorithms on the montium architecture. In: Proc. of the Parallel and Distributed Processing Symposium (IPDPS2003), Nice, p. 6, April 2003

  24. Garzia, F., Brunelli, C., Nurmi, J.: Implementation of a 2D low-pass image filtering algorithm on a reconfigurable device. In: Proc. of the ReCoSoC 2007, Montpellier, pp. 166–170, 18–20 June 2007

  25. Brunelli, C., Salmela, P., Takala, J., Nurmi, J.: A flexible multiplier for media processing. In: Proc. of the SiPS’05 conference, Athens, 2–4 November 2005

  26. Brunelli, C., Cinelli, F., Rossi, D., Nurmi, J.: A VHDL model and implementation of a coarse-grain reconfigurable coprocessor for a RISC core. In: Proc. of the PRIME 2006 Conference, Otranto, pp 229–232, 12–15 June 2006

Download references

Acknowledgments

This work has been partially supported by Nokia Foundation, which is gratefully acknowledged.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Claudio Brunelli.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Brunelli, C., Garzia, F. & Nurmi, J. A coarse-grain reconfigurable architecture for multimedia applications featuring subword computation capabilities. J Real-Time Image Proc 3, 21–32 (2008). https://doi.org/10.1007/s11554-008-0071-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11554-008-0071-3

Keywords

Navigation