Skip to main content

Advertisement

Log in

Optimal floor planning in VLSI using improved adaptive particle swarm optimization

  • Special Issue
  • Published:
Evolutionary Intelligence Aims and scope Submit manuscript

Abstract

Floor planning is necessary to design the VLSI circuit. The complete computational characteristics of the manufactured chip are evaluated by floor planning process. It is the multi-objective problem in which different objectives are fulfilled at a time. Here, a new Interactive Self-Improvement based Adaptive Particle Swarm Optimization (ISI-APSO) technique is proposed to enhance the exploration efficiency and accuracy than convolutional PSO. Within less computation time the proposed ISI-APSO technique attains best global search throughout the space. The simulation results show that the proposed ISI-APSO algorithm achieves better performance than other heuristic algorithms in exploring efficiency and speed of convergence. In order to place the whole modules and their internally connected wire lengths, the Multi-objective optimization method is utilized. Therefore the necessary layout area is minimized. Moreover, the implemented results demonstrate the importance of the proposed algorithm with respect to the robust performance.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

Abbreviations

VLSI:

Very-large-scale integration

IC:

Integrated circuit

ISI-APSO:

Interactive Self-Improvement based Adaptive Particle Optimization

IP:

Intellectual property

IO:

Input output

NP-hard:

Non-deterministic polynomial-time hard

SA:

Simulated annealing

ACO:

Ant colony optimization

SA:

Simulated annealing

DE:

Differential evolution

PSOEM:

PSO improved Cauchy inertia weight Particle Swarm Optimization

PSONIW:

Nonlinear inertia weight variation in Particle Swarm Optimization

PSORIW:

Random function inertia weight Particle Swarm Optimization

PSODIW:

Dynamic inertia weight Particle Swarm Optimization

PSOEIW:

Evolutionary based inertia weight Particle Swarm Optimization

CI:

Confidence interval

IIR:

Infinite impulse response

AR:

Attractor–repeller

GLS:

Guided local search

MBS:

Moving block sequence

TSVs:

Through-silicon vias

AWPSO:

Adaptive weight PSO

GA:

Genetic algorithm

References

  1. Eguchi K, Suzuki J, Yamane S, Oshima K (1999) An application of genetic algorithms to floorplanning of VLSI. In: Rough sets and current trends in computing, vol 1424 of the series Lecture Notes in Computer Science, pp 263–270

  2. Valenzuela CL, Wang PY (2000) A genetic algorithm for VLSI floorplanning. In: Parallel problem solving from nature PPSN VI, vol 1917 of the series Lecture Notes in Computer Science, pp 671–680

  3. Cong J, Ma Y (2009) Thermal-aware 3D floorplan. In: Three dimensional integrated circuit design, part of the series integrated circuits and systems, pp 63–102

  4. Potti S, Pothiraj S (2011) GPGPU implementation of parallel memetic algorithm for VLSI floorplanning problem. In: Trends in computer science, engineering and information technology, vol 204 of the series Communications in Computer and Information Science, pp 432–441

  5. Chen H-M, Wong MDF (2001) Integrated floorplanning and interconnect planning. In: Layout optimization in VLSI design, vol 8 of the series Network Theory and Applications, pp 1–18

  6. Anjos MF, Vannelli A (2002) An attractor-repeller approach to floorplanning. Math Methods Oper Res 56(1):3–27

    Article  MathSciNet  Google Scholar 

  7. Yang G, Song X, Yang HH, Xie F (2005) A theoretical upper bound for IP-based floorplanning. In: Computing and combinatorics, vol 3595 of the series Lecture Notes in Computer Science, pp 411–419

  8. Emmert JM, Lodha S, Bhatia DK (2003) On using tabu search for design automation of VLSI systems. J Heuristics 9(1):75–90

    Article  Google Scholar 

  9. Faroe O, Pisinger D, Zachariasen M (2003) Guided local search for final placement in VLSI design. J Heuristics 9(3):269–295

    Article  Google Scholar 

  10. Anjos MF, Liers F (2011) Global approaches for facility layout and VLSI floorplanning, vol 166 of the series International Series in Operations Research & Management Science, pp 849–877

  11. Liu J, Zhong W, Jiao L (2005) Moving block sequence and organizational evolutionary algorithm for general floorplanning. In: Computational intelligence and security, vol 3801 of the series Lecture Notes in Computer Science, pp 238–246

  12. Saheb Zamani M, Hellestrand GR (2005) A new neural network approach to the floorplanning of hierarchical VLSI designs. In: Neural networks for communications and control, from natural to artificial neural computation, vol 930 of the series Lecture Notes in Computer Science, pp 1128–1134

  13. Tang M, Sebastian A (2005) A genetic algorithm for VLSI floorplanning using O-tree representation. In: Applications of evolutionary computing, vol 3449 of the series Lecture Notes in Computer Science, pp 215–224

  14. Luo C, Anjos MF, Vannelli A (2008) A nonlinear optimization methodology for VLSI fixed-outline floorplanning. J Comb Optim 16(4):378–401

    Article  MathSciNet  Google Scholar 

  15. Chiang C-W (2009) Ant colony optimization for VLSI floorplanning with clustering constraints. J Chin Inst Ind Eng 26(6):440–448

    Google Scholar 

  16. Fang J-P, Chang Y-L, Chen C-C (2009) A parallel simulated annealing approach for floorplanning in VLSI. In: Algorithms and architectures for parallel processing, vol 5574 of the series Lecture Notes in Computer Science, pp 291–302

  17. Chen J, Chen G, Guo W (2009) A discrete PSO for multi-objective optimization in VLSI floorplanning. In: Advances in computation and intelligence, vol 5821 of the series Lecture Notes in Computer Science, pp 400–410

  18. Chen G, Guo W, Chen Y (2010) A PSO-based intelligent decision algorithm for VLSI floorplanning. Soft Comput 14(12):1329–1337

    Article  Google Scholar 

  19. Pavlidis VF, Friedman EG (2010) Physical design issues in 3-D integrated technologies. In: VLSI-SoC: design methodologies for SoC and SiP, vol 313 of the series IFIP Advances in Information and Communication Technology, pp 1–21

  20. Deng YS, Maly WP (2010) Floorplanning for 2.5-D integration. In: 3-dimensional VLSI, pp 83–116

  21. Gracia Nirmala Rani D, Rajaram S (2011) Performance driven VLSI floorplanning with B*Tree representation using differential evolutionary algorithm. In: Trends in network and communications, vol 197 of the series Communications in Computer and Information Science, pp 445–456

  22. Lim I, Song H, Shin H (2012) Integrated circuit floorplanning by using an analytical algorithm. In: Convergence and hybrid information technology, vol 7425 of the series Lecture Notes in Computer Science, pp 404–411

  23. Anand S, Saravanasankar S, Subbaraj P (2012) Customized simulated annealing based decision algorithms for combinatorial optimization in VLSI floorplanning problem. Comput Optim Appl 52(3):667–689

    Article  MathSciNet  Google Scholar 

  24. BeckhoffC, Koch D, Torreson J (2013) Automatic floorplanning and interface synthesis of island style reconfigurable systems with GoAhead. In: Architecture of computing systems—ARCS 2013, vol 7767 of the series Lecture Notes in Computer Science, pp 303–316

  25. Kureichik VM, Lebedev BK, Lebedev VB (2013) VLSI floorplanning based on the integration of adaptive search models. J Comput Syst Sci Int 52(1):80–96

    Article  Google Scholar 

  26. Sivaranjani P, Senthil Kumar A (2015) Thermal-aware non-slicing VLSI floorplanning using a smart decision-making PSO-GA based hybrid algorithm. Circuits Syst Signal Process 34(11):3521–3542

    Article  Google Scholar 

  27. Aharoni M, Boni O, Freund A (2015) Rectangle placement for VLSI testing. In: Integration of AI and OR techniques in constraint programming, vol 9075 of the series Lecture Notes in Computer Science, pp 18–30

  28. Ahmed MA, Mohapatra S, Chrzanowska-Jeske M (2016) TSV- and delay-aware 3D-IC floorplanning. Analog Integr Circuits Signal Process 87(2):235–248

    Article  Google Scholar 

  29. Lv J, Wang X, Ren K, Huang M, Li K (2017) ACO-inspired information-centric networking routing mechanism. Comput Netw 126:200–217

    Article  Google Scholar 

  30. Li P-c, Zhang L, Dai L-c, Li X-F (2017) Study on operator’s SA reliability in digital NPPs. Part 3: a quantitative assessment method. Ann Nucl Energy 109:82–91

    Article  Google Scholar 

  31. Zheng LM, Zhang SX, Tang KS, Zheng SY (2017) Differential evolution powered by collective information. Inf Sci 399:13–29

    Article  Google Scholar 

  32. Mengqi H, Teresa W, Weir JD (2012) An intelligent augmentation of particle swarm optimization with multiple adaptive methods. Inf Sci 213:68–83

    Article  Google Scholar 

  33. Shi Y, Eberhart RC (1999) Empirical study of particle swarm optimization. In: Proceedings of the 1999 Congress on Evolutionary Computation-CEC99 (Cat. No. 99TH8406), Washington, DC, vol 3, p 1950

  34. Chatterjeea A, Siarry P (2006) Nonlinear inertia weight variation for dynamic adaptation in particle swarm optimization. Comput Oper Res 33(3):859–871

    Article  Google Scholar 

  35. Lange-Nielsen T, Lance GM, Cao AY (1972) Pattern search algorithm for feedback-control system parameter optimization. IEEE Trans Comput 21(11):1222–1227

    Article  Google Scholar 

  36. Eberhart RC, Shi Y (2001) Tracking and optimizing dynamic systems with particle swarms. In: Proceedings of the 2001 Congress on Evolutionary Computation (IEEE Cat. No. 01TH8546), Seoul, vol 1, pp 94–100

  37. Zhan ZH, Zhang J, Li Y, Chung HSH (2009) Adaptive particle swarm optimization. IEEE Trans Syst Man Cybern Part B (Cybern) 39(6):1362–1381

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to S. B. Vinay Kumar.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Vinay Kumar, S.B., Rao, P.V. & Singh, M.K. Optimal floor planning in VLSI using improved adaptive particle swarm optimization. Evol. Intel. 15, 925–938 (2022). https://doi.org/10.1007/s12065-019-00256-z

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s12065-019-00256-z

Keywords

Navigation