Skip to main content
Log in

Low-power and high-performance adaptive routing in on-chip networks

  • Regular Paper
  • Published:
CCF Transactions on High Performance Computing Aims and scope Submit manuscript

Abstract

Performance and power are two conflict requirements in a NoC. It is attractive to propose a low-power and high-performance routing scheme. A new low-power fully adaptive routing algorithm is proposed for virtual cut-through or wormhole switched networks-on-chip. The following new techniques are proposed: (1) multiple packets can be delivered across the same physical channel via different bypassing channels, (2) a low-power bypassing hop can include both x and y channels, (3) a new low-power routing selection function is defined for more power reduction, (4) a new limited-global control signaling scheme is proposed, (5) a new cycle-accurate system-level power estimation model is proposed, and (6) bypassing hops of any lengths and the normal hops in the original network can share the same virtual channels. Simulation results are presented to evaluate the performance and power by comparison with some previous methods.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

References

  • Ascia, G., Catania, V., Palesi, M., Patti, D.: Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip. IEEE Trans. Comput. 57(6), 809–820 (2008)

    Article  MathSciNet  MATH  Google Scholar 

  • Banerjee, A., Mullin, R., Moore, S.: Power and energy exploration of network-on-chip architectures. In: Proceedings of NoCs, pp. 163–172 (2007)

  • Banerjee, N., Vellanki, P., Chatha, K. S.: A power and performance model for network-on-chip architectures. In: Proceedings of DATE, pp. 1250–1255 (2004)

  • Benini, L., DeMicheli, G.: Networks-on-chip: a new SoC paradigm. IEEE Comput. 35(1), 70–78 (2002)

    Article  Google Scholar 

  • Benini, L., DeMicheli, G.: System-level power optimization: techniques and tools. ACM Trans. Des. Autom. Electr. Syst. 5(2), 115–192 (2000)

    Article  Google Scholar 

  • Chang, K. C., Shen, J. S., Chen, T. F.: A low-power crossroad switch architecture and its core placement for network-on-chip. In: Proceedings of International Symposium on Low-Power Electronics and Design, pp. 375–380 (2005)

  • Chiu, G.M.: The odd-even turn model for adaptive routing. IEEE Trans. Parallel Distrib. Syst. 11, 729–738 (2000)

    Article  Google Scholar 

  • Dally, W. J., Towles, B.: Route packets not wires: On-chip interconnection networks. In: Proceedings of Design Automation Conference (2001)

  • Dally, W.J.: Express cubes: improving the performance of \(k\)-ary \(n\)-cube interconnection networks. IEEE Trans. Comput. 40(9), 1016–1023 (1991)

    Article  Google Scholar 

  • Duato, J., Yalamanchili, S., Ni, L.: Interconnection Networks: An Engineering Approach. Morgan Kaufmann, Burlington (2003)

    Google Scholar 

  • Ebrahimi, M., Daneshtalab, M., Liljeberg, P., Plosila, J., Henhunen, H.: LEAR-A low-weight and highly adaptive routing method for distributing congestion in on-chip networks. In: Proceedings of 20th Euromicro International Conference on Parallel, Distributed and Network-based Processing, pp. 520–524 (2012)

  • Gorgues, M., Xiang, D., Flich, J., Yu, Z., Duato, J.: Achieving balanced buffer utilization with a proper co-design of flow control and routing algorithm. In: Proceedings of 8th International Symposium on Networks-on-Chip, pp. 25–32 (2014)

  • Glass, C.J., Ni, L.M.: The turn model for adaptive routing. J. ACM 41(5), 874–902 (1994)

    Article  Google Scholar 

  • Gu, H., Xu, J., Zhang, W.: A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip. In: Proceedings Design Automation, and Test in Europe (2009)

  • Hu, W., Lu, Z., Jantsch, A., Liu, H.: Power-efficient tree-based multicast support for networks-on-chip. In: Proceedings of ASP-DAC, pp. 363–368 (2011)

  • Hu, Y., Zhu, Y., Chen, H., Graham, R., Cheng, C. K.: Communication latency aware low-power NoC synthesis. In: Proceedings of Design Automation Conference, pp. 574–579 (2006)

  • Hu, J., Marculescu, R.: DyAD-Smart routing for networks-on-chip. In: Proceedings of Design Automation Conference, pp. 260–263 (2004)

  • Jerger, N. E., Peh, L. S., Lipasti, M.: Circuit-switched coherence. In: Proceedings of 2th International Symposium on Networks-on-Chip, pp. 193–202 (2010)

  • Jiang, G., Li, Z., Wang, F., Wei, S.: A Low-latency and low-power hybrid scheme for on-chip networks. IEEE Trans. VLSI Syst. 23(4), 664–677 (2015)

    Article  Google Scholar 

  • Kahng, A. B., Li, B., Peh, L. S., Samadi, K.: Orion 2.0: A fast and accurate NoC power and area model for early-stage design space exploration. In: Proceedings of Design Automation and Test in Europe (2009)

  • Kim, J., Park, D., Theocharides, T., Vijaykrishnan, N., Das, C. R.: A low latency router supporting adaptivity for on-chip interconnects. In: Proceedings of Design Automation Conference, pp. 559–564 (2005)

  • Krishna, T., Kumar, A., Chiang, P., Erez, M., Peh, L. S.: NoC with near-ideal express virtual channels using global-line communication. In: Proceedings of 16th IEEE Symposium on High-Performance Interconnects, pp. 11–20 (2008)

  • Kumar, A., Peh, L.S., Kundu, P., Jha, N. K.: Express virtual channel: towards the ideal interconnection networks. In: Proceedings of International Symposium on Computer Architecture, pp. 150–161 (2007)

  • Lee, K., Lee, S.-J., Yoo, H.-J.: Low-power network-on-chip for high-performance SoC design. IEEE Trans VLSI Syst 14(2), 148–160 (2006)

    Article  Google Scholar 

  • Li, Z., Mohamed, M., Chen, X., Zhou, H., Mickelson, A.: Iris: A hybrid nonophotonic network design for high-performance and low-power on-chip communication. ACM J. Emerg. Technol. Comput. Syst. 7(2), 8 (2011)

    Article  Google Scholar 

  • Li, M., Zeng, Q. -A., Jone, W. -B.: DyXY–A proximity congestion-aware deadlock-free dynamic routing method for network on chip. In: Proceedings of Design Automation Conference, pp. 849–852 (2006)

  • Linder, D.H., Harden, J.C.: An adaptive and fault-tolerant wormhole routing strategy for k-ary n-cubes. IEEE Trans. Comput. 40(1), 2–12 (1991)

    Article  MathSciNet  MATH  Google Scholar 

  • Ma, S., Jerger, N. E., Wang, Z.: DBAR: An efficient routing algorithm to support multiple concurrent applications in networks-on-chip. In: Proceedings of International Symposium on Computer Architecture, pp. 413–424 (2011)

  • Matsutani, H., Koibuchi, M., Wang, D., Amano, H.: Adding slow-silent virtual channels for low-power on-chip networks. In: Proceedings of International Symposium on Networks-on-Chip, pp. 23–32 (2008)

  • Modarressi, M., Tavakkol, A., Sarbazi-Azad, H.: Virtual point-to-point connections for NoCs. IEEE Trans. Comput. Aided Des. 29(6), 855–868 (2010)

    Article  Google Scholar 

  • Niyogi, K., Maculescu, D.: System-level power and performance modeling of GALS point-to-point communication. In: Proceedings of International Symposium Low-Power Electronics and Design, pp. 381–386 (2005)

  • Patel, C. S., Chai, S. M., Yalamanchili, S., Schimmel, D. E.: Power constrained design of multiprocessor interconnection networks. In: Proceedings of International Conference on Computer Design, pp. 408–416 (1997)

  • Router Synthesis, Cadence synthesis tool. https://nocs.stanford.edu

  • Sabbaghi-Nadooshan, R., Modarressi, M., Sarbazi-Azad, H.: A novel high-performance and low-power mesh-based NoC. In: Proceedings of International Parallel and Distributed Processing Symposium (2008)

  • Samman, F.A., Hollstein, T., Glesner, M.: Runtime contention and bandwidth-aware adaptive routing selection strategy for networks-on-chip. IEEE Trans. Parallel Distrib. Syst. 24(7), 1411–1421 (2013)

    Article  Google Scholar 

  • Shang, L., Peh, L. S., Jha, N. K.: PowerHerd: Dynamic satisfaction of peak power constraints in interconnection networks. In: Proceedings of 17th Annual International Conference on Supercomputing, pp. 98–108 (2003)

  • Shang, L., Peh, L. -S., Jha, N. K.: Dynamic voltage scaling with links for power optimization of interconnection networks. In: Proceedings of High-Performance Computer Architecture (2003)

  • Sun, C., Chen, C. -H. O., Kurian, G., Wei, L., Miller, J., Agarwal, A., Peh, L. -S., Stojanovic, V.: DSENT-A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In: Proceedings of International Symposium on Networks-on-Chip, pp. 201–210 (2012)

  • Wang, H. S., Zhu, X., Peh, L. S., Malik, S.: Orion: A power-driven design of router microarchitectures in on-chip networks. In: Proceedings of International Symposium on Microarchitectures, pp. 294–305 (2002)

  • Xiang, D.: Deadlock-free adaptive routing in meshes with fault-tolerance ability based on channel overlapping. IEEE Trans. Dependable Secure Comput. 8(1), 74–88 (2011)

    Article  Google Scholar 

  • Xiang, D., Zhang, Y., Shan, S., Xu, Y.: A fault-tolerant routing algorithm design for on-chip optical networks. In: Proceedings of 32th International Symposium on Reliable Distributed Systems (2013)

  • Xiang, D., Zhang, Y., Pan, Y.: Practical deadlock-free fault-tolerant routing in meshes based on the planar network fault model. IEEE Trans. Comput. 58(5), 620–633 (2009)

    Article  MathSciNet  MATH  Google Scholar 

  • Xiang, D., Li, B., Fu, Y.: Fault-tolerant adaptive routing in dragonfly networks. IEEE Trans. Dependable Secure Comput. 16(2), 259–271 (2019)

    Article  Google Scholar 

  • Xiang, D., Liu, X.: Deadlock-free broadcast routing in dragonfly networks without virtual channels. IEEE Trans. Parallel Distrib. Syst. 27(9), 2520–2532 (2016)

    Article  Google Scholar 

  • Xiang, D., Zhang, Y., Pan, Y., Wu, J.: Deadlock-free adaptive routing in meshes based on cost-effective deadlock avoidance schemes. In: 36th IEEE International Conference on Parallel Processing (2007)

  • Xiang, D.: Fault-tolerant routing in hypercube multicomputers using local safety information. IEEE Trans. Parallel Distrib. Syst. 12(9), 942–951 (2001)

    Article  Google Scholar 

  • Ye, T. T., Benini, L., DeMicheli, G.: Analysis of power consumption on switch fabrics in network routers. In: Proceedings of Design Automation Conference (2002)

  • Zhang, Z., Greiner, A., Taktaki, S.: A reconfigurable routing algorithm for a fault-tolerant 2D mesh network-on-chip. In: Proceedings of Design Automation Conference, pp. 441–446 (2008)

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Qunyang Pan.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Xiang, D., Pan, Q. Low-power and high-performance adaptive routing in on-chip networks. CCF Trans. HPC 1, 92–110 (2019). https://doi.org/10.1007/s42514-019-00009-5

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s42514-019-00009-5

Keywords

Navigation