Skip to main content

Advertisement

Log in

VCCIM: a voltage coupling based computing-in-memory architecture in 28 nm for edge AI applications

  • Regular Paper
  • Published:
CCF Transactions on High Performance Computing Aims and scope Submit manuscript

Abstract

Computing-in-memory (CIM) has been widely explored for parallelizing multiply-and-accumulation (MAC) operations and reducing data access to overcome “Von-Neumann bottleneck”. However, most CIM works lack configurability regardless of custom demands. In this paper, we proposed a 28 nm 128 Kb configurable CIM architecture based on voltage coupling (VCCIM) and a CIM-based modeling and predicting (CIMMP) method. This macro achieves an energy efficiency of 12.1–17.6 TOPS/W and 71.70–72.01% inference accuracy when applied to a VGG-16 network CIFAR-100 data set.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  • Bong, K., Choi, S., Kim, C., Kang, S., Kim, Y., Yoo, H.-J.: A 0.62 mW ultra-low-power convolutional-neural-network face-recognition processor and a CIS integrated with always-on haar-like face detector [C]. IEEE Int. Sol. State Circ. Conf. (ISSCC) Dig. Tech. Papers, San Francisco, 344–346 (2017)

  • Chang, L., Ma, X., Wang, Z., et al.: PXNOR-BNN: in/with spin-orbit torque MRAM preset-XNOR operation-based binary neural networks[J]. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 27(11), 2668–79 (2019)

    Article  Google Scholar 

  • Chen, Y.-H., Krishna, T., Emer, J., Sze, V.: Eyeriss: an energy-efficient reconfigurable accelerator for deep convolutional neural networks [C]. IEEE Int. Sol. State Circ. Conf. (ISSCC) Dig. Tech. Papers, San Francisco, 262–263 (2016)

  • Chen, Y.-H., Krishna, T., Emer, J., Sze, V.: 14.5 eyeriss: an energy-efficient reconfigurable accelerator for deep convolutional neural networks. IEEE Int. Sol. State Circ. Conf. (ISSCC) 2016, 262–264 (2016)

    Google Scholar 

  • Chi, P., Li, S., Xu, C., Zhang, T., Zhao, J., Liu, Y., Wang, Y., Xie, Y.: Prime: a novel processing-in-memory architecture for neural network computation in reram-based main memory. ACM SIGARCH Comput. Arch. News 44(3), 27–39 (2016)

    Article  Google Scholar 

  • Chih, Y.-D., Lee, P.-H., Chang, M.-F., et al.: An 89TOPS/W and 16.3TOPS/mm2 all-digital SRAM-based full-precision compute-in memory macro in 22 nm for machine-learning edge applications[C]. IEEE Int. Sol. State Circ. Conf. (ISSCC) Dig. Tech. Papers, San Francisco, 252–254 (2021)

  • Guo, R., et al.: 15.4 A 5.99-to-691.1TOPS/W tensor-train in-memory-computing processor using bit-level-sparsity-based optimization and variable-precision quantization. In: 2021 IEEE International Solid- State Circuits Conference (ISSCC), pp. 242–244 (2021)

  • Jaiswal, A., Andrawis, R., Agrawal, A., et al.: Functional read enabling in-memory computations in 1Transistor–1Resistor memory arrays[J]. IEEE Trans. Circ. Syst. II: Express Briefs 67(12), 3347–51 (2020)

    Google Scholar 

  • Jiang, Z., Yin, S., Seok, M.: C3SRAM: an in-memory-computing SRAM acro based on robust capacitive coupling computing mechanism[J]. IEEE J. Sol. State Circ. 55(7) (2020)

  • Khwa, W..-S., Chen, J..-J., Li, J..-F.., Si, X., Yang, E..-Y., Sun, X., Liu, R., Chen, P..-Y., Li, Q., Yu, S.: A 65 nm 4 Kb algorithmdependent computing-in-memory SRAM unit-macro with 2.3 ns and 55.8 TOPS, W fully parallel product-sum operation for binary DNN edge processors. IEEE Int. Sol. State Circ. Confe. (ISSCC) 2018, 496–498 (2018)

    Google Scholar 

  • Kim, J., Park, J.: A charge-domain 10T SRAM based in-memory-computing macro for low energy and highly accurate DNN inference. In: 2021 18th International SoC Design Conference (ISOCC), pp. 89-90 (2021)

  • Misra, J., Saha, I.: Artificial neural networks in hardware: a survey of two decades of progress[J]. Neurocomputing 74(1), 239–255 (2010)

    Article  Google Scholar 

  • Patterson, D.A.: Computer Architecture: A Quantitative Approach [M], 6th edn., pp. 55–57. Morgan Kaufmann, San Francisco (2017)

    Google Scholar 

  • Sayal, A., Kulkarni, J.P., et al.: COMPAC: compressed time-domain, pooling-aware convolution cnn engine with reduced data movement for energy-effcient AI Computing[J]. IEEE J. Sol. State Circ. 56(7) (2020)

  • Sharma, V., Kim, J.E., Jo, Y.-J., Chen, Y., Kim, T.T.-H.: AND8T SRAM macro with improved linearity for multi-bit in-memory computing. IEEE Int. Symp. Circ. Syst. (ISCAS) 2021, 1–5 (2021)

    Google Scholar 

  • Si, X., et al.: Circuit design challenges in computing-in-memory for AI edge devices. In: 2019 IEEE 13th International Conference on ASIC (ASICON) (2019)

  • Si, X., et al.: A dual-split 6T SRAM-based computing-in-memory unit-macro with fully parallel product-sum operation for binarized DNN edge processors. IEEE Trans. Circ. Syst. I Regular Pap. 66(11), 4172–4185 (2019)

    Article  Google Scholar 

  • Si, X., Chen, J.J., Tu, Y.N., Huang, W..-H., Wang, J..-H., Chiu, Y..-C., Wei, W..-C., Wu, S..-Y., Sun, X., Liu, R.: 24.5 a twin-8T SRAM computation-in-memory macro for multiple-bit CNN-based machine learning. IEEE Int. Sol. State Circ. Conf. (ISSCC) 2019, 396–398 (2019)

    Google Scholar 

  • Si, X., et al.: A 28nm 64Kb 6T SRAM Computing-in-Memory Macro with 8b MAC Operation for AI Edge Chips. In: IEEE International Solid- State Circuits Conference (ISSCC) Dig. Tech. Papers, San Francisco 2020, 246–248 (2020)

  • Si, X., Zhou, Y., Yang, J., Chang, M. -F.: Challenge and trend of SRAM based computation-in-memory circuits for AI edge devices. In: 2021 IEEE 14th International Conference on ASIC (ASICON), pp. 1–4 (2021)

  • Sim, J., Kim, M., Kim, Y.: MAPIM: mat parallelism for high performance processing in non-volatile memory architecture[C]. In: 20th International Symposium on Quality Electronic Design (ISQED). Santa Clara 2019, 145–150 (2019)

  • Song, L., Qian, X., Li, H., Chen, Y.: Pipelayer: a pipelined ReRAM-based accelerator for deep learning. IEEE Int. Symp. High Perform. Comput. Arch. (HPCA) 2017, 541–552 (2017)

    Google Scholar 

  • Su, J. -W., et al.: 15.2 A 28 nm 64 Kb inference-training two-way transpose multibit 6T SRAM compute-in-memory macro for AI edge chips. In: 2020 IEEE International Solid- State Circuits Conference - (ISSCC), pp. 240–242 (2020)

  • Sze, V.: Designing hardware for machine learning: the important role played by circuit designers [M]. IEEE Solid State Circ. Mag. 9(4), 46–54 (2017)

    Article  Google Scholar 

  • Sze, V., Chen, Y.-H., Emer, J., Suleiman, A., Zhang, Z.: Hardware for machine learning: challenges and opportunities[C]. IEEE Custion Integr. Circuits Conf. (CICC), 1-8 (2018)

  • Valavi, H., Ramadge, P.J., Verma, N., et al.: A 64-tile 2.4-Mb in-memory-computing CNN accelerator employing charge-domain compute[J]. IEEE J. Sol.-State Circ. 54(6) (2019)

  • Wang, Y., Zhou, Y., Wang, B., Xiong, T., Kong, Y., Si, X.: Design challenges and methodology of high-performance SRAM-based compute-in-memory for AI edge devices. Int. Conf. UK–China Emerg. Technol. (UCET) 2021, 47–52 (2021)

    Article  Google Scholar 

  • Xiong, T., et al.: Design methodology towards high-precision SRAM based computation-in-memory for AI Edge Devices. In: 2021 18th International SoC Design Conference (ISOCC), pp. 195-196 (2021)

  • Yang, J., Kong, Y., Wang, Z., Liu, Y., Wang, B., Yin, S., Shi, L.: 24.4 Sandwich-RAM: an energy-efficient in-memory BWN architecture with pulse-width modulation. IEEE Int. Sol. State Circ. Conf. (ISSCC) 2019, 394–396 (2019)

    Google Scholar 

  • Yang, J., Kong, Y., Yang, J., et al.: A time-domain computing-in-memory based processor using predictable decomposed convolution for arbitrary quantized DNNs[C]. In: IEEE Asian Solid-State Circuits Conference(A-SSCC), Dig. Tech. Papers, Hiroshima, 1-4 (2020)

  • Zhang, Z., et al.: A 55nm 1-to-8 bit Configurable 6T SRAM based computing-in-memory unit-macro for CNN-based AI edge processors. In: 2019 IEEE Asian Solid-State Circuits Conference (A-SSCC), pp. 217–218 (2019)

  • Zidan, M.A., Strachan, J.P., Lu, W.D.: The future of electronics based on memristive systems[J]. Nat. Electron. 1(1), 22–29 (2018)

    Article  Google Scholar 

Download references

Acknowledgements

This work was supported in part by the Foundation of Southeast University under Grant 9206002201.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Xin Si.

Rights and permissions

Springer Nature or its licensor holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Guo, A., Xue, C., Chen, X. et al. VCCIM: a voltage coupling based computing-in-memory architecture in 28 nm for edge AI applications. CCF Trans. HPC 4, 407–420 (2022). https://doi.org/10.1007/s42514-022-00111-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s42514-022-00111-1

Keywords

Navigation