Skip to main content
Log in

Analyzing the Effects of Hyperthreading on the Performance of Data Management Systems

  • Published:
International Journal of Parallel Programming Aims and scope Submit manuscript

Abstract

As information processing applications take greater roles in our everyday life, database management systems (DBMSs) are growing in importance. DBMSs have traditionally exhibited poor cache performance and large memory footprints, therefore performing only at a fraction of their ideal execution and exhibiting low processor utilization. Previous research has studied the memory system of DBMSs on research-based simultaneous multithreading (SMT) processors. Recently, several differences have been noted between the real hyper-threaded architecture implemented by the Intel Pentium 4 and the earlier SMT research architectures. This paper characterizes the performance of a prototype open-source DBMS running TPC-equivalent benchmark queries on an Intel Pentium 4 Hyper-Threading processor. We use hardware counters provided by the Pentium 4 to evaluate the micro-architecture and study the memory system behavior of each query running on the DBMS. Our results show a performance improvement of up to 1.16 in TPC-C-equivalent and 1.26 in TPC-H-equivalent queries due to hyperthreading.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Keeton, K., Patterson, D., He, Y., Raphael, R., Baker, W.: Performance characterization of a Quad Pentium pro SMP using OLTP workloads. In: Proceedings of the 25th International Symposium on Computer Architecture (ISCA)

  2. Tullsen, D., Eggers, S., Levy, H.: Simultaneous multithreading: maximizing on-chip parallelism. In: Proceedings of the 22th International Symposium on Computer Architecture (ISCA) (1995)

  3. Garcia, P., Korth, H.: Multithreaded architectures and the sort benchmark. In: Proceedings of the First International Workshop on Data Management on New Hardware, June 2005

  4. Ghoting, A., Buehrer, G., Parthasarathy, S., Kim, D., Chen, Y., Nguyen, A., Dubey, P.: Cache-conscious frequent pattern mining on a modern processor. In: Proceedings of the International Conference on Very Large Data Bases (VLDB) (2005)

  5. Zhou, J., Cieslewicz, J., Ross, K.A., Shah, M.: Improving database performance on simultaneous multithreading processors. In: Proceedings of the International Conference on Very Large Data Bases (VLDB) (2005)

  6. Lehman P.L. and Bing Yao S. (1981). Efficient locking for concurrent operations on B-trees. ACM Trans. Database Syst. 6(4): 650–670

    Article  MATH  Google Scholar 

  7. Shao, M., Ailamaki, A., Falsafi, B.: DBmbench: Fast and accurate database workload representation on modern microarchitecture. In: International Centers for Advanced Studies Conference (CASCON) (2005)

  8. http://www.postgresql.org

  9. Lo, J., Barroso, L., Eggers, S., Gharachorloo, K., Levy, H., Parekh, S.: An analysis of database workload performance on simultaneous multithreaded processors. In: Proceedings of the 25th International Symposium on Computer Architecture (ISCA), Barcelona, Spain, June 1998

  10. Ailamaki, A., DeWitt, D.J., Hill, M.D., Wood, D.A.: DBMSs on a modern processor: where does time go? In: Proceedings of International Conference on Very Large Databases (VLDB), pp. 266–277 (1999)

  11. Bhandarkar, D., Ding, J.: Performance characterization of the Pentium pro processor. In: Proceedings of the 3rd IEEE Symp. on High-Performance Computer Architecture (HPCA) ’97, Feb. 1997

  12. Keeton, K., Patterson, D.: Towards a simplified database workload for computer architecture evaluations, presented at the workshop on workload characterization, Austin, Texas, October 1999. In: John L.K., Maynard A.M. (eds.) Workload Characterization for Computer System Design. Kluwer Academic Publishers, 2000, ISBN 0-7923-7777-x

  13. Hankins, R., Diep, T., Annavaram, M., Hirano, B., Eri, H., Nueckel, H., Shen, J.P.: Scaling and characterizing database workloads: bridging the gap between research and practice. In: Proceedings of the International Symposium on Microarchitecture (MICRO), December 2003

  14. Blackburn, S., Cheng, P., McKinley, K.: Myths and realities: the performance impact of garbage collection. In: Proceedings of the SIGMETRICS ’04, June 2004

  15. Bulpin, J.R., Pratt, I.A.: Multiprogramming performance of the Pentium 4 with hyper-threading. In: Proceedings of the 3rd Annual Workshop on Duplicating, Deconstructing, and Debunking (WDDD), Munich, Germany, June 2004

  16. Huang, W., Lin, J., Zhang, Z., Chang J.M.: Performance characterization of Java applications on SMT processors. International Symposium on Performance Analysis of Systems and Software (ISPASS), March 2005

  17. Tuckm, N., Tullsen, D.: Initial observations of a simultaneous multithreading processor. In: 12th Intl. Conf. on Parallel Architectures and Compilation Techniques (PACT’)03, Sept. 2003

  18. Chen, Y.K., Debes, E., Lienhart, R., Holliman, M., Yeung, M.: Evaluating and improving performance of multimedia applications on simultaneous multi-threading. In: 9th Intl. Conf. on Parallel and Distributed Systems, Dec. 2002

  19. Ruan, Y., Pai, V.S., Nahum, E., Tracey, J.M.: Evaluating the impact of simultaneous multithreading on network servers using real hardware. In: Proceedings of the SIGMETRICS ’05, June 2005

  20. Mathis H.M., Mericas A.E., McCalpin J.D., Eickemeyer R.J. and Kunkel S.R. (2005). Characterization of simultaneous multithreading (SMT) efficiency in Power5. IBM J. Res. Dev. 49(4/5): 555–564

    Article  Google Scholar 

  21. McVoy, L., lmbench, C.S.: Portable tools for performance analysis. In: USENIX 1996 Annual Technical Conference

  22. Chen, S., Ailamaki, A., Gibbons, P.B., Mowry, T.C.: Improving hash join performance through prefetching. In: Proceedings of ICDE, pp. 116–127 (2004)

  23. Intel Corp. VTune performance analyzer. Available at http://www.intel.com/software/products/vtune/

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Wessam M. Hassanein.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Hassanein, W.M., Rashid, L.K. & Hammad, M.A. Analyzing the Effects of Hyperthreading on the Performance of Data Management Systems. Int J Parallel Prog 36, 206–225 (2008). https://doi.org/10.1007/s10766-007-0066-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10766-007-0066-x

Keywords

Navigation