Skip to main content

Advertisement

Log in

DRAMSpec: A High-Level DRAM Timing, Power and Area Exploration Tool

  • Published:
International Journal of Parallel Programming Aims and scope Submit manuscript

Abstract

In systems ranging from mobile devices to servers, DRAM has a big impact on performance and contributes a significant part of the total consumed power. The performance and power of the system depends on the architecture of the DRAM chip, the design of the memory controller and the access patterns received by the memory controller. Thus, evaluating the impact of DRAM design decisions requires a holistic approach that includes an appropriate model of the DRAM bank, a realistic controller and DRAM power model, and a representative workload, which requires a full system simulator running a complete software stack. In this paper, we introduce DRAMSpec, a high-level DRAM bank/chip modeling tool. Our contribution is to move the DRAM modeling abstraction level from the circuit level to the DRAM bank and by the integration in full system simulators we allow system or processor designers (non-DRAM experts) to tune future DRAM architectures for their target applications. We demonstrate the merits of DRAMSpec by exploring the influence of DRAM row-buffer (page) size and the number of banks on performance and power of a server application (memcached). Our new DRAM design offers a 16% DRAM performance improvement and 13% DRAM energy saving compared to standard comodity DDR3 devices. Additionally, we demonstrate how our tool is able to aid in evaluating novel DRAM architectures, such as the Hybrid Memory Cube (HMC), for which no DRAM datasheets are available. Finally, we highlight the DRAM technology scaling for a specific HMC architecture and we quantify the impact on latency and power.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19

Similar content being viewed by others

References

  1. Chandrasekar, K., Weis, C., Akesson, B., Wehn, N., Goossens, K.: Towards variation-aware system level power estimations on DRAMs: an empirical approach In: DAC (2013)

  2. Vogelsang, T.: Understanding the energy consumption of dynamic random access memories. In: MICRO (2010)

  3. Jung, M., Weis, C., Bertram, P., Braun G., Wehn, N.: Power modelling of 3D-stacked memories with TLM2.0 based virtual platforms. In: SNUG (2013)

  4. Hansson, A., Agarwal, N., Kolli, A., Wenisch, T., Udipi, A.N.: Simulating DRAM controllers for future system architecture exploration. In: ISPASS (2014)

  5. Rosenfeld, P., Cooper-Balis, E., Jacob, B.: DRAMSim2: a cycle accurate memory system simulator. In: IEEE Computer Society (2011)

  6. Jung, M., Weis, C., Wehn, N., Chandrasekar, K.: TLM Modelling of 3D stacked wide I/O DRAM subsystems, a virtual platform for memory controller design space exploration. In: RAPIDO (2013)

  7. Micron.System Power Calculators: http://www.micron.com/products/support/power-calculation

  8. Chandrasekar, K., Akesson, B., Goossens, K.: Improved power modelling of DDR SDRAMs. In: DSD (2011)

  9. Chandrasekar, K., et al.: Exploiting expendable process-margins in DRAMs for run-time performance optimization. In: DATE (2014)

  10. Binkert, N. et al.: The gem5 simulator. In: ACM SIGARCH Computer Architecture News (2011)

  11. Azarkhish, E., et al.: A Logic-base interconnect for supporting near memory computation in the hybrid memory cube. In: MICRO (2014)

  12. Hybrid Memory Cube Consortium: Hybrid memory cube specification 1.1. http://www.hybridmemorycube.org/files/SiteDownloads/HMC%20Rev%201%5F1%20Specification. Accessed (2015)

  13. Chen, K., et al.: CACTI-3DD: architecture-level modeling for 3D die-stacked DRAM main memory. In: DATE (2012)

  14. Shih, H.C., et al.: DArT: a component-based DRAM area, power, and timing modeling tool. In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, Issue 9 (2014)

  15. Kim, Y., Yang, W., Mutlu, O.: Ramulator: A fast and extensible DRAM simulator. IEEE Comput. Archit. Lett. 15, (2016)

  16. Jacob, B., Spencer, W.Ng., Wang, D.T.: Memory Systems Cache, DRAM, Disk, Morgen Kaufmann 353–496 (2008)

  17. Taassori, M., Chatterjee, N., Shafiee, A., Balasubramonian, R.: Exploring a brink-of-failure memory controller to design an approximate memory system. In: WACAS (2014)

  18. Jedec Solid State Technology Association: DDR3 SDRAM (JESD 79-3) (2012)

  19. Weis, C., Loi, I., Benini, L., Wehn, N.: Exploration and optimization of 3-D integrated DRAM subsystems. In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 32, Issue 4 (2013)

  20. Arabinda, D., UBM TechInsights: Hynix DRAM layout, process integration adapt to change. http://www.embedded.com/print/4403547 (2012)

  21. International technology roadmap for semiconductors. http://www.itrs.net/Links/2013ITRS/Home2013.htm

  22. Schloesser, T., et al.: A 6F2 buried wordline DRAM cell for 40 nm and beyond. In: Electron Devices Meeting (2008)

  23. Rabaey, J.M., Chandrakasan, A., Nikolic, B.: Digital Integrated Circuits A Design Perspective, 2nd edn. Prentice Hall, New York 623–717, (2002)

  24. Chandrasekar, K.: High-level power estimation and optimization of DRAMs, Ph.D. thesis, TU Delft (2014)

  25. Gokhale, M., Lloyd, S., Macaraeg, C.: Hybrid memory cube performance characterization on data-centric workloads. In: Proceedings of the 5th Workshop on Irregular Applications: Architectures and Algorithms (2015)

  26. Sohn, K., et al.: A 1.2 V 30 nm 3.2 Gb/s/pin 4 Gb DDR4 SDRAM with dual-error detection and PVT-tolerant data-fetch scheme. IEEE J Solid-State Circuits 48(1), 168 (2013)

  27. Kim, J., et al.: A 1.2 V 12.8 GB/s 2 Gb mobile wide-I/O DRAM with 4 x 128 I/Os using TSV based stacking. IEEE J. Solid-State Circuits 47(1), (2012)

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Christian Weis.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Weis, C., Mutaal, A., Naji, O. et al. DRAMSpec: A High-Level DRAM Timing, Power and Area Exploration Tool. Int J Parallel Prog 45, 1566–1591 (2017). https://doi.org/10.1007/s10766-016-0473-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10766-016-0473-y

Keywords

Navigation