Skip to main content
Log in

Improved design debugging architecture using low power serial communication protocols for signal processing applications

  • Published:
International Journal of Speech Technology Aims and scope Submit manuscript

Abstract

Now-a-days FPGA designers are facing the problem of unprecedented challenges in debugging their designs. In the past, designers debugged their FPGAs by plugging them onto a board and then analyzing them with probes and logic analyzers. But right now the vendors of FPGA are offering tools that make it somewhat easier to probe internal design signals inside the FPGA, Once unexpected behavior is observed, on-chip debug is notoriously difficult; typically a design is instrumented with on-chip trace buffers that record the run-time behavior for later interrogation. Based on the demand for verification leads to an increase in FPGA-based tools that improves the performance of the architecture. The low power communication protocols can run at much higher operating frequencies with less area.FPGAs provide a promising implementation option for many DSP applications particularly in speech signal processing devices such as data converters, digital filters, etc. This work improves the performance of current debugging techniques and makes them more reliable. This work proposes a novel design debugging architecture based on implementation of reconfigurable insertion technique with the help of low power communication protocols used in the FIR filter to debug the entire architecture with less area. If there is any possibility of bug occurs in the UART protocol then the data is transferred through SPI protocol. SPI protocol worked in the operating frequency of 330.12 MHz. According to the power consumption, the UART protocol consumes 0.0135W which is far better than other protocols like SPI, I2C etc. Moreover, the area overhead is reduced. This is achieved by implementing the extra instrumentation. The design debugging architecture is developed using Verilog HDL and implemented on FPGA with the help of Xilinx ISE tool.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  • Altera, A. (2015). SDK for Open CL: Programming guide.

  • Chin, S., & Wilton, S. J. E. (2009). An analytical model relating FPGA architecture and place and route runtime. In International conference on field programmable logic and applications (pp. 146-153). https://doi.org/10.1109/FPL.2009.5272519.

  • Eslami, F., & Wilton, S. J. E. (2018). Rapid triggering capability using an adaptive overlay during FPGA debug. ACM Transactions on Design Automation of Electronic Systems, 23(6), 1–25.

    Article  Google Scholar 

  • Fezzardi, P., Lattuada, M., & Ferrandi, F. (2017). Using efficient path profiling to optimize memory consumption of on-chip debugging for high-level synthesis. ACM Transactions on Embedded Computing Systems, 16(5s), 1–19.

    Article  Google Scholar 

  • Goeders, J., & Wilton, S. J. E. (2017). Signal-tracing techniques for in-system FPGA debugging of high-level synthesis circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36(1), 83–96.

    Article  Google Scholar 

  • Hung, E., Jamal, A. S., & Wilton, S. J. E. (2013). Maximum flow algorithms for maximum observability during FPGA debug. In International conference on field-programmable technology (FPT) (pp. 20–27). https://doi.org/10.1109/FTP.2013.6718324.

  • Hung, E., & Wilton, S. J. E. (2014). Incremental trace-buffer insertion for FPGA debug. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(4), 850–863.

    Article  Google Scholar 

  • Kourfali, A., Fricke, F., Huebner, M., & Stroobandt, D. (2019). An integrated on-silicon verification method for FPGA overlays. Journal of Electronic Testing, 35(2), 1–17.

    Article  Google Scholar 

  • Kourfali, A., & Stroobandt, D. (2016). Efficient hardware debugging using parameterized FPGA reconfiguration. In 2016 IEEE international parallel and distributed processing symposium workshops (pp. 276–282). https://doi.org/10.1109/IPDPSW.2016.95.

  • Kourfali, A., & Stroobandt, D. (2019). In-circuit fault tolerance for FPGAs using dynamic reconfiguration and virtual overlays. Microelectronics Reliability, 102, 113438.

    Article  Google Scholar 

  • Kourfali, A., & Stroobndt, D. (2020). In-circuit debugging with dynamic reconfiguration of FPGA interconnects. ACM Transactions on Reconfigurable Technology and Systems, 13(1), 1–29.

    Article  Google Scholar 

  • Mahat, N. F. (2012). Design of a 9-bit UART module based on Verilog HDL. In IEEE-ICSE2012 Proceedings, 2012 (pp. 570–573).

  • Mentor Graphics. (2012). ModelSim User’s Manual.

  • Monson, J. M., & Hutchings, B. L. (2018). Enhancing debug observability for HLS-based FPGA circuits through source-to-source compilation. The Journal of Parallel and Distributed Computing. https://doi.org/10.1016/j.jpdc.2018.02.012,1-36.

    Article  Google Scholar 

  • Murali, A., & Hari Kishore, K. (2020). An efficient debugging architecture for DTG based FIR filter using I2C protocol in DSP processor. International Journal of Emerging Trends in Engineering Research, 8(7), 3468–3477.

    Google Scholar 

  • Murali, A., Hari Kishore, K., Rama Krishna, C. P., Kumar, S., Trinadha Rao, A. (2017). Integrating the reconfigurable devices using Slow-changing key technique to achieve high performance. In 2017 IEEE 7th international advance computing conference (pp. 530–534).

  • Murali, A., Hari Kishore, K., Trinadha Rao, A., Tripathy, S., & Dhanunjaya Rao, P. (2017). Improved in-system debugging of high level synthesis generated FPGA circuits. In Computer communication, networking and internet security (pp. 513–519). Singapore: Springer.

  • Murali, A., Hari Kishore, K., & Venkat Reddy, D. (2016). Integrating FPGAs with trigger circuitry core system insertions for observability in debugging process. Journal of Engineering and Applied Sciences, 11(12), 2643–2650.

    Google Scholar 

  • Murali, A., Hari Kishore, K., Vijaya Padma, G., & Srikanth, L. (2017). Design of scan cell for system on chip scan based debugging applications. In Computer communication, networking and Internet security (Vol. 5, pp. 577–586).

  • Oudjida, A. K., Berrandjia, M. L., Tiar, R., Liacha, A., & Tahraoui, K. (2009). FPGA implementation of i2C & SPI protocols: A comparative study. In 2009 16th IEEE international conference on electronics, circuits and systems (ICECS 2009) (pp. 507–510).

  • ul Hasan Khan, H., Podlubne, A., & Göhringer, D. (2019). Intrusive FPGA-in-the-loop debugging using a rule-based inference system. Microprocessors and Microsystems, 64, 185–194.

    Article  Google Scholar 

  • Xilinx. (2012). Chipscope pro software and cores (v14.3).

  • Xilinx. (2015). SDAccel Development Environment User Guide, UG1023.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to A. Murali.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Murali, A., Kakarla, H.K. & Anitha Priyadarshini, G.M. Improved design debugging architecture using low power serial communication protocols for signal processing applications. Int J Speech Technol 24, 291–302 (2021). https://doi.org/10.1007/s10772-020-09784-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10772-020-09784-x

Keywords

Navigation