Skip to main content
Log in

Scan-in and Scan-out Transition Co-optimization Through Modelling Generalized Serial Transformations

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Scan-based cores impose considerable test power challenges due to excessive switching activity during shift cycles. The consequent test power constraints force system-on-chip (SOC) designers to sacrifice parallelism among core tests, as exceeding power thresholds may damage the chip being tested. Reduction of test power for SOC cores can thus increase the number of cores that can be tested in parallel, improving significantly SOC test application time. In this paper, we propose a scan chain modification technique that inserts logic gates on the scan path. The consequent beneficial test data transformations are utilized to reduce the scan chain transitions during shift cycles and hence test power. We introduce a matrix band algebra that models the impact of logic gate insertion between scan cells on the test stimulus and response transformations realized. As we have successfully modeled the response transformations as well, the methodology we propose is capable of truly minimizing the overall test power. The test vectors and responses are analyzed in an intertwined manner, identifying the best possible scan chain modification, which is realized at minimal area cost. Experimental results justify the efficacy of the proposed methodology as well.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15

Similar content being viewed by others

Notes

  1. A simple transposition argument can be used to show that a lower triangular matrix can be left-multiplied by the stimulus matrix, equivalently. The test stimulus and vector will be denoted in column form in that case.

  2. As these matrix multiplications model XOR operations, the inner products are to be computed through XORing the product terms.

  3. It has been shown in Sankaralingam et al. [20] that the number of scan chain transitions and the actual test power dissipation are strongly correlated.

  4. The techniques in Sinanoglu et al. [29] and Sinanoglu and Orailoglu [27] are based on minimizing scan-in power; the associated papers therefore report scan-in power reduction. In this paper, the results of these techniques that are reported in Table 3 are computed by also accounting for the scan-out power, yielding different results than those reported in these papers.

  5. For a fair comparison, we have implemented the repeat-fill approach and used the same set of test cubes, generated by ATALANTA, for both the repeat-fill and the proposed techniques; it must be noted however that the proposed methodology attains power reductions without exploiting any don’t care bits. In other words, the proposed methodology is executed on a fully specified test set, with no don’t care bits whatsoever, which has been generated from the test cubes via random-fill.

References

  1. Baik DH, Saluja K (2005) Progressive random access: a simultaneous solution to test power, test data volume and test time. In: ITC. IEEE, Piscataway, pp 272–277

    Google Scholar 

  2. Baik DH, Saluja K (2006) Test cost reduction using partitioned grid random access. In: VLSID. IEEE Computer Society, Los Alamitos, pp 272–277

    Google Scholar 

  3. Bhunia S, Mahmudi H, Ghosh D, Mukhopadhyay S, Roy K (2005) Low-power scan design using first-level supply gating. IEEE Trans Very Large Scale Integr (VLSI) Syst 13(3):384–395

    Article  Google Scholar 

  4. Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S, Wunderlich HJ (2001) A modified clock scheme for a low power BIST test pattern generator. In: VTS. IEEE Computer Society, Washington, DC, pp 306–311

    Google Scholar 

  5. Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S, Virazel A (2004) Design of routing-constrained low power scan chains. In: DATE. IEEE Computer Society, Washington, DC, pp 62–67

    Google Scholar 

  6. Butler KM, Saxena J, Fryars T, Hetherington G, Jain A, Lewis J (2004) Minimizing power consumption in scan testing: pattern generation and Dft techniques. In: ITC. IEEE, Piscataway, pp 355–364

    Google Scholar 

  7. Chio M-H, Li JC-M (2005) Jump Scan: A DfT technique for low power testing. In: VTS. IEEE Computer Society, Washington, DC, pp 277–282

    Google Scholar 

  8. Dabholkar V, Chakravarty S, Pomeranz I, Reddy SM (1998) Techniques for minimizing power dissipation in scan and combinational circuits during test application. IEEE Trans Comput-Aided Des Integr Circuits Syst 17(12):1325–1333

    Article  Google Scholar 

  9. ElShoukry M, Ravikumar CP, Tehranipoor M (2005) Partial gating optimization for power reduction during test application. In: ATS, Kolkata, December 2005, pp 242–247

  10. Girard P (2002) Survey of low power testing of VLSI circuits. IEEE Des Test 19(3):82–92

    Article  MathSciNet  Google Scholar 

  11. Huang T, Lee K (1999) An input control technique for power reduction in scan circuits during test application. In: ATS. Shanghai, 1999, pp 315–320

  12. Joshi K, Donald EM (2005) Reduction of instantaneous power by ripple scan clocking. In: VTS. IEEE Computer Society, Washington, DC, pp 271–276

    Google Scholar 

  13. Kajihara S, Ishida K, Miyase K (2002) Test vector modification for power reduction during scan testing. In: VTS. IEEE Computer Society, Washington, DC, pp 160–165

    Google Scholar 

  14. Kajihara S, Pomeranz I, Kinoshita K, Reddy SM (1995) Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits. IEEE Trans Comput-Aided Des Integr Circuits Syst 14(12):1496–1504

    Article  Google Scholar 

  15. Lai L, Patel JH, Rinderknecht T, Cheng WT (2004) Logic BIST with scan chain segmentation. In: ITC. IEEE, Piscataway, pp 57–66

    Google Scholar 

  16. Lee HK, Ha DS (1993) On the generation of test patterns for combinational circuits. Technical report 12-93, Department of Electrical Engineering, Virginia Polytechnic Institute and State University

  17. Lee J, Touba N (2007) LFSR-reseeding scheme achieving low-power dissipation during test. IEEE Trans Comput-Aided Des Integr Circuits Syst 26(2):396–401

    Article  Google Scholar 

  18. Nicolici N, Al-Hashimi BM, Williams AC (2000) Minimisation of power dissipation during test application in full-scan sequential circuits using primary input freezing. IEE CDT 147(5):313–322

    Google Scholar 

  19. Rosinger M, Al-Hashimi BM, Nicolici N (2004) Scan architecture with mutually exclusive scan segment activation for shift and capture power reduction. IEEE Trans Comput-Aided Des Integr Circuits Syst 23(7):1142–1153

    Article  Google Scholar 

  20. Sankaralingam R, Oruganti RR, Touba NA (2000) Adapting scan architectures for low power operation. In: VTS. IEEE Computer Society, Washington, DC, pp 35–40

    Google Scholar 

  21. Sankaralingam R, Touba NA (2002) Controlling peak power during scan testing. In: VTS. IEEE Computer Society, Washington, DC, pp 153–159

    Google Scholar 

  22. Sankaralingam R, Touba NA (2002) Inserting test points to control peak power during scan testing. In: Defect and fault tolerance in VLSI systems. IEEE, Piscataway, pp 138–146

    Google Scholar 

  23. Sankaralingam R, Pouya B, Touba NA (2001) Reducing power dissipation during test using scan chain disable. In: VTS. IEEE Computer Society, Washington, DC, pp 319–324

    Google Scholar 

  24. Saxena J, Butler KM, Jayaram VB, Kundu S, Arvind NV, Sreeprakash P, Hachinger M (2003) A case study of IR-drop in structured at-speed testing. In: ITC. IEEE, Piscataway, pp 1098–1104

    Google Scholar 

  25. Sharifi S, Jaffari J, Hosseinabady M, Kusha AA, Navabi Z (2005) Simultaneous reduction of dynamic and static power in scan structures. In: DATE. IEEE Computer Society, Washington, DC, pp 846–851

    Google Scholar 

  26. Sinanoglu O, Orailoglu A (2003) Aggressive test power reduction through test stimuli transformation. In: ICCD. IEEE, Piscataway, pp 542–547

    Google Scholar 

  27. Sinanoglu O, Orailoglu A (2003) Modeling scan chain modifications for scan-in test power minimization. In: ITC. IEEE, Piscataway, pp 602–611

    Google Scholar 

  28. Sinanoglu O, Orailoglu A (2005) Test power reductions through computationally efficient, decoupled scan chain modifications. IEEE Trans Reliab 54(2):215–223

    Article  Google Scholar 

  29. Sinanoglu O, Bayraktaroglu I, Orailoglu A (2002) Scan power reduction through test data transition frequency analysis. In: ITC. IEEE, Piscataway, pp 844–850

    Google Scholar 

  30. Sinanoglu O, Bayraktaroglu I, Orailoglu A (2002) Test power reduction through minimization of scan chain transitions. In: VTS. IEEE Computer Society, Washington, DC, pp 166–171

    Google Scholar 

  31. Sinanoglu O, Bayraktaroglu I, Orailoglu A (2003) Reducing average and peak test power through scan chain modification. J Electron Test 19(4):457–467

    Article  Google Scholar 

  32. Stewart GW (1973) Introduction to matrix computations. Academic, New York

    MATH  Google Scholar 

  33. Wen X, Yamashita Y, Kajihara S, Wang L-T, Saluja KW, Kinoshita K (2005) On low-capture-power test generation for scan testing. In: VTS. IEEE Computer Society, Washington, DC, pp 265–270

    Google Scholar 

  34. Wen X, Yamashita Y, Morishima S, Kajihara S, Wang L-T, Saluja KW, Kinoshita K (2005) Low-capture-power test generation for scan-based at-speed testing. In: ITC. IEEE, Piscataway

    Google Scholar 

  35. Wen X, Kajihara S, Miyase K, Suzuki T, Saluja KW, Wang L-T, A-Hafez K-S, Kinoshita K (2006) A new ATPG method for efficient capture power reduction during scan testing. In: VTS. IEEE Computer Society, Washington, DC

    Google Scholar 

  36. Whetsel L (2000) Adapting scan architectures for low power operation. In: ITC. IEEE, Piscataway, pp 863–872

    Google Scholar 

  37. Wunderlich HJ, Gerstendorfer S (1999) Minimized power consumption for scan based BIST. In: ITC. IEEE, Piscataway, pp 85–94

    Google Scholar 

  38. Xiang D, Li K, Fujiwara H (2007) Reconfigured scan forest for test application cost, test data volume and test power reduction. IEEE Trans Comput 56(4):557–562

    Article  Google Scholar 

Download references

Acknowledgment

The author would like to thank Prof. Irith Pomeranz, from Purdue University, for providing on a short notice the test data for the enhanced COMPACTEST tool.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ozgur Sinanoglu.

Additional information

Responsible Editor: B. Al-Hashimi

Rights and permissions

Reprints and permissions

About this article

Cite this article

Sinanoglu, O. Scan-in and Scan-out Transition Co-optimization Through Modelling Generalized Serial Transformations. J Electron Test 24, 335–351 (2008). https://doi.org/10.1007/s10836-007-5021-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5021-7

Keywords

Navigation