Skip to main content
Log in

A Module for BiST of CMOS RF Receivers

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

A module to perform a built-in self-test in CMOS RF receivers is presented. The module is associated with a test strategy consisting of measuring the main performance parameters of the single building blocks individually. Circuitry overhead, however, is kept low by using some blocks as part of the test set-up and reusing them. The test overhead has also been reduced by replacing direct determination of performance parameters with their estimation. The test methodology has been applied to a mixer in the first down conversion stage of a GSM receiver, estimating its conversion gain, 1dB compression and third-order interception points. Using the output of the IF amplifier as the only testing point, the rms errors in the estimation of the above mentioned parameters are 1.5, 3.0 and 2.7%, respectively.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Das T, Gopalan A, Washburn C, Mukund PR (2005) Self-calibration of input-match in RF front-end circuitry. IEEE Trans Circuits Syst – II 52(12):821–825, Dec

    Article  Google Scholar 

  2. Donghoon H, Akbay SS, Bhattacharya S, Chatterjee A, Eisenstadt WR (2005) On-chip self-calibration of RF circuits using specification-driven built-in self test (S-BIST). Proceedings of 11th IOLTS 106–111, July

  3. Ducoudray GO, Gonzalez-Carvajal R, Ramirez-Angulo J (2003) A high-speed dynamic current sensor for iDD test based on the flipped voltage follower. Southwest Symposium on Mixed-Signal Design 208–211, February

  4. Grözing M, Phillip B, Berroth M (2003) CMOS ring oscillator with quadrature outputs and 100 MHz to 3.5 GHz tuning range. Proceedings of the 29th European Solid-State Circuits Conference, ESSCIRC'03 679–682, Sept

  5. Han D, Bhattacharya S, Chatterjee A (2007) Low-cost parametric test and diagnosis of RF systems using multi-tone response envelope detection. IET Comput Digit Tech 1(3):170–1791

    Article  Google Scholar 

  6. Huang F (2001) A 0.5-μm CMOS T/R Switch for 900. MHz Wireless Applications. IEEE J Solid-State Circuits 36(3):486–492, March

    Article  Google Scholar 

  7. Hussain A (2005) Advanced RF engineering for wireless systems and networks. Wiley. ISBN 0-471-67421-4

  8. Lin C, Wu P, Chang H, Wang H (2006) A 9-50-GHz Gilbert-cell down-conversion mixer in 0.13-μm CMOS technology. IEEE J Solid-State Circuits 16(5):293–295, May

    Google Scholar 

  9. Lupea D, Pursche U, Jentschel H, (2003) RF-BIST: loopback spectral signature analysis. Proceedings of Design, Automation and Test in Europe Conference and Exhibition 478–483

  10. Machado de Silva J, Pinho G, Matos JS (2005) Design embedded testing of a LNA. Proceedings of the DCIS’05. Lisbon (Portugal), Nov

  11. Marquardt DW (1963) An algorithm for least squares estimation of non linear parameters. J Soc Ind Appl Math 11(2):431–441

    Article  MATH  MathSciNet  Google Scholar 

  12. Srinivasan G, Chatterjee A, Taenzler F (2006) Alternate loop-back diagnostic tests for wafer-level diagnosis of modern wireless transceivers using spectral signatures. Proceedings of 24th IEEE VLSI Test Symposium (VTS’06), April

  13. Steyaert MS, De Muer B, Leroux P, Borremans M, Mertens K (2002) Low-voltage low-power CMOS-RF transceiver design. IEEE Trans Microwave Theor Tech 50(1):281–287

    Article  Google Scholar 

  14. Sun L, Kwasniewski TA (2001) A 1.25-GHz 0.35-μm monolithic CMOS PLL based on a multiphase ring oscillator. IEEE J Solid-State Circuits 36(6):910–916, June

    Article  Google Scholar 

  15. Talwalkar NA, Yue CP, Gan H, Wong SS (2004) Integrated CMOS transmit-receive switch using LC-tuned substrate bias for 2.4-GHz and 5.2-GHz applications”. IEEE J Solid-State Circuits 39(6), June

  16. Vancorenland P, Steyaert M (2001) A Wideband IMRR improving quadrature mixer/LO generator. Proc. of the 27th European Solid-State Circuits Conference, ESSCIRC 2001 345–348, Sept

  17. Yin Q, Eisenstadt WR, Fox RM, Zhang T (2005) A translinear RMS detector for embedded test of RF ICs. IEEE Trans Instrum Meas 54(5):1708–1714, Oct

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rodrigo Picos.

Additional information

Responsible Editor: M. Lubaszewski

Rights and permissions

Reprints and permissions

About this article

Cite this article

Suenaga, K., Picos, R., Bota, S. et al. A Module for BiST of CMOS RF Receivers. J Electron Test 23, 605–612 (2007). https://doi.org/10.1007/s10836-007-5051-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5051-1

Keywords

Navigation