Skip to main content
Log in

Formal Quantification of the Register Vulnerabilities to Soft Error in RTL Control Paths

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Radiation-induced soft error is a significant reliability issue in nanoscale technology nodes. As the sequential registers are major contributors to the system soft error rate, accurate analysis of their vulnerabilities at early design phases is essential for cost-efficient error mitigation. In this paper, a novel approach is proposed to quantify the soft error vulnerabilities of the registers in control paths at Register-Transfer Level (RTL). By modeling the control path as a state transition system, formal probabilistic model checking is adopted to compute the register vulnerabilities by taking the workload dependency into consideration. Efficient RTL abstraction and model simplification techniques are proposed to achieve an exponential reduction of the state space, enabling our methodology to analyze large control modules in a typical embedded processor. The experimental results show the effectiveness of the proposed techniques, which can successfully quantify the non-uniform register vulnerabilities in RTL designs.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

Notes

  1. For the estimation of state space size, the number n and m should be the bit-width sum of the RTL signals.

References

  1. Anghel L, Leveugle R, Vanhauwaert P (2005) Evaluation of SET and SEU effects at multiple abstraction levels. In: Proc. international on-line testing symposium, pp 309–312

  2. Aziz A, Singhal V, Balarin F (1995) It usually works: the temporal logic of stochastic systems. In: Proc. computer aided verification, pp 155–165

  3. Baier C, Katoen J (2008) Principles of model checking. MIT Press

  4. Baumann R (2005) Soft errors in advanced computer systems. IEEE Des Test Comput 22(3):258–266

    Article  Google Scholar 

  5. Bhaduri D, Shukla S, Graham P, Gokhale M (2007) Reliability analysis of large circuits using scalable techniques and tools. IEEE Trans Circ Syst 54(11):2447–2460

    Article  MathSciNet  Google Scholar 

  6. Bjesse P (2009) Word level bitwidth reduction for unbounded hardware model checking. Form Methods Syst Des 35(1):56–72

    Article  MATH  Google Scholar 

  7. Calin T et al (1996) Upset hardened memory design for submicron CMOS technology. IEEE Trans Nucl Sci 43(6):2874–2878

    Article  Google Scholar 

  8. Chen L, Ebrahimi M, Tahoori M (2013) CEP: correlated error propagation for hierarchical soft error analysis. J Electron Test 29(2):143–158

    Article  MATH  Google Scholar 

  9. Chen L, Ebrahimi M, Tahoori M (2014) Quantitative evaluation of register vulnerabilities in RTL control paths. In: Proc. European test symposium (ETS), pp 1–2

  10. Chu P (2006) RTL Hardware design using VHDL: coding for efficiency, portability, and scalability. Wiley

  11. Dan Z (2010) Research on sequential equivalence checking based system-level soft error reliability analysis of circuits. PhD thesis. National University of Defense Technology, Hunan

    Google Scholar 

  12. Dehnert C, Katoen J, Parker D (2013) SMT-based bisimulation minimisation of markov models. In: Verification, model checking, and abstract interpretation, pp 28–47

  13. Dixit A, Wood A (2011) The impact of new technology on soft error rates. In: Proc. International reliability physics symposium, pp 4.1–4.7

  14. Ebrahimi M., Chen L., Asadi H., Tahoori M. (2013) CLASS: combined logic and architectural soft error sensitivity analysis. In: Proc. Asia and South Pacific design automation conference (ASPDAC), pp 1–6

  15. Ebrahimi M, Evans A, Tahoori M, Seyyedi R, Costenaro E, Alexandresc D (2014) Comprehensive analysis of alpha and neutron particle-induced soft errors in an embedded processor at nanoscales. In: Proc. design, automation and test in Europe (DATE), pp 1–6

  16. Evans A, Alexandrescu D, Costenaro E, Chen L (2013) Hierarchical RTL-based combinatorial SER estimation. In:Proc. IEEE international on-line testing symposium, pp 139–144

  17. Fazeli M, Miremadi S, Asadi H, Ahmadian S (2010) A fast and accurate multi-cycle soft error rate estimation approach to resilient embedded systems design. In: Proc. IEEE/IFIP international conference on dependable systems and networks (DSN), pp 131–140

  18. Ferlet-Cavrois V et al (2013) Single event transients in digital cmos: a review. IEEE Trans Nucl Sci 60:1767–1790

    Article  Google Scholar 

  19. Fey G, Sülflow A, Frehse S, Drechsler R (2011) Effective robustness analysis using bounded model checking techniques. IEEE Trans CAD Integr Circ Syst 30(8):1239–1252

    Article  Google Scholar 

  20. Gajski DD et al (2009) Embedded system design: modeling, synthesis and verification. Springer

  21. Guthaus MR et al (2001) Mibench: A free, commercially representative embedded benchmark suite. In: Proc. IEEE international workshop on workload characterization, pp 3–14

  22. Hayes J, Polian I, Becker B (2007) An analysis framework for transient-error tolerance. In: Proc. VLSI test symposium (VTS), pp 249–255

  23. Hazucha P, Karnik T, Walstra S, Bloechel B, Tschanz J, Maiz J, Soumyanath K, Dermer G, Narendra S, De V, Borkar S (2004) Measurements and analysis of ser-tolerant latch in a 90-nm dual-vt cmos process. IEEE J Solid-State Circ 39(9):1536–1543

    Article  Google Scholar 

  24. Holcomb D, Li W, Seshia SA (2009) Design as you see FIT: system-level soft error analysis of sequential circuits. In: Proc. international conference on design, automation and test in Europe (DATE), pp 785–790

  25. Karypis G, Kumar V (1999) Multilevel k-way hypergraph partitioning. In: Proc. Design automation conference (DAC), pp 343–348

  26. Katoen J, Kemna T, Zapreev I, Jansen D (2007) Bisimulation minimisation mostly speeds up probabilistic model checking. In: Proc. international conference on tools and algorithms for the construction and analysis of systems pp 87–101

  27. Kim S, Somani A (2002) Soft error sensitivity characterization for microprocessor dependability enhancement strategy. In: Proc. international conference on dependable systems and networks, pp 416–428

  28. Krautz U, Pflanz M, Jacobi C, Tast HW, Weber K, Vierhaus HT (2006) Evaluating coverage of error detection logic for soft errors using formal methods. In: Proceeding of design, automation and test in Europe, pp 176–181

  29. Krishnaswamy S, Viamontes G, Markov I, Hayes J (2008) Probabilistic transfer matrices in symbolic reliability analysis of logic circuits. ACM Trans Des Autom Electron Syst 13(1):8:1–8:35

    Article  Google Scholar 

  30. Kumar J, Vasudevan S (2012) Verifying dynamic power management schemes using statistical model checking. In: Proc. Asia and South Pacific design automation conference (ASP-DAC), pp 579–584

  31. Kumar JA, Vasudevan S (2010) Automatic compositional reasoning for probabilistic model checking of hardware designs. In: Proc. international conference on the quantitative evaluation of systems, pp 143–152

  32. Kwiatkowska M et al (2011) PRISM 4.0: verification of probabilistic real-time systems. In: Proc. International conference on computer aided verification

  33. Lakshminarayana G, Raghunathan A, Jha NK, Dey S (1998) Transforming control-flow intensive designs to facilitate power management. In: Proc. international conference on computer-aided design, pp 657–664

  34. Leem L, Cho H, Lee HH, Kim YM, Li Y, Mitra S (2010) Cross-layer error resilience for robust systems. In: Proc. international conference on computer-aided design (ICCAD), pp 177–180

  35. Li Y, Cheng E, Makar S, Mitra S (2013) Self-repair of uncore components in robust system-on-chips: an opensparc t2 case study. In: Proc. international test conference (ITC), pp 1–10

  36. Liang J, Han J, Lombardi F (2013) Analysis of error masking and restoring properties of sequential circuits. IEEE Trans Comput 62(9):1694–1704

    Article  MathSciNet  Google Scholar 

  37. Lin H et al (2012) A probabilistic analysis method for functional qualification under mutation analysis. In: Proc. design, automation test in Europe conference exhibition (DATE), pp 147 –152

  38. McMillan KL (2000) A methodology for hardware verification using compositional model checking. J Sci Comput Program 37(1-3):279–309

    Article  MATH  Google Scholar 

  39. Mishchenko A, Case M, Brayton R, Jang S (2008) Scalable and scalably-verifiable sequential synthesis. In: Proc. IEEE International conference on computer aided design (ICCAD), pp 234–241

  40. Miskov-Zivanov N, Marculescu D (2008) Modeling and optimization for soft-error reliability of sequential circuits. IEEE Trans CAD Integr CircSyst (TCAD) 27(5):803–816

    Article  Google Scholar 

  41. Mitra S et al (2005) Robust system design with built-in soft-error resilience. IEEE Comput 38(2):43–52

    Article  Google Scholar 

  42. Mukherjee S et al (2005) The soft error problem: an architectural perspective. In: Proc. international Symposium on High-Performance Computer Architecture, pp 243–247

  43. OpenRISC (2012). http://opencores.org/openrisc

  44. OpenSPARC (2007). http://www.oracle.com/technetwork/systems/opensparc

  45. Polian I, Hayes J, Reddy S, Becker B (2011) Modeling and mitigating transient errors in logic circuits. IEEE Trans Dependable Secure Comput 8(4):537–547

    Article  Google Scholar 

  46. Seifert N, Ambrose V, Gill B, Shi Q, Allmon R, Recchia C, Mukherjee S, Nassif N, Krause J, Pickholtz J, Balasubramanian A (2010) On the radiation-induced soft error performance of hardened sequential elements in advanced bulk cmos technologies. In: Proc. international reliability physics symposium (IRPS), pp 188–197

  47. Seshia S, Li W, Mitra S (2007) Verification-guided soft error resilience. In: Proc. design, automation test in Europe, pp 1–6

  48. Shazli SZ, Tahoori MB (2010) Using boolean satisfiability for computing soft error rates in early design stages. Microelectron Reliab 50(1):149–159

    Article  Google Scholar 

  49. Wimmer R, Herbstritt M, Hermanns H, Strampp K, Becker B (2006) Sigref – a symbolic bisimulation tool box. In: Proc. international symposium on automated technology for verification and analysis, pp 477–492

Download references

Acknowledgments

This work was supported by the German Research Foundation (DFG) as part of the national focal program ”Dependable Embedded Systems” (SPP-1500, http://spp1500.itec.kit.edu). In addition, the help from Christian Dehnert in RWTH Aachen University are also appreciated regarding the combination of PRISM and Sigref tools.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Liang Chen.

Additional information

Responsible Editor: D. Gizopoulos

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Chen, L., Ebrahimi, M. & Tahoori, M.B. Formal Quantification of the Register Vulnerabilities to Soft Error in RTL Control Paths. J Electron Test 31, 193–206 (2015). https://doi.org/10.1007/s10836-015-5519-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-015-5519-3

Keywords

Navigation