Skip to main content
Log in

Low-Cost Strategy for Bus Propagation Delay Reduction

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

We propose a strategy to reduce the propagation delay of microprocessors’ digital bus lines at very low costs in terms of area overhead, power consumption and power-delay product. Likewise some solutions adopted in industry nowadays, our strategy inserts in the bus lines repeaters implemented as a chain of inverters with increasing size. In this paper, we derive new expressions to determine the optimum number of inverters to be used within each repeater, and the optimum number of repeaters to insert in the bus lines. Our derived expressions yield to bus implementations with significant lower cost in terms of area overhead and power consumption than alternative solutions in literature. Considering a 32 nm technology as a significant example, we show that, compared to the traditional solution that inserts repeaters implemented as a single inverter, our strategy enables reductions up to 84% in terms of area overhead, up to 65% in power consumption, and up to 66% in power-delay product. Compared to three recent alternative solutions in the literature, our strategy enables reductions up to 88% in terms of area overhead, up to 48% in power consumption, and up to 43% in power-delay product. Therefore, our approach is particularly suitable to the growing market of mobile applications that require low cost in terms of power consumption and chip area.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3

Similar content being viewed by others

References

  1. Agarwal K, Sylvester D, Blaauw D (May 2005) Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Trans on Computer-Aided Design of Integrated Circuits and Systems 25(5):892–901

    Article  Google Scholar 

  2. Akl C, Bayoumi M (Sept 2008) Reducing interconnect delay uncertainty via hybrid polarity repeater insertion. IEEE Trans on Very Large Scale Integration (VLSI) Systems 16(9):1230–1239

    Article  Google Scholar 

  3. Alizadeh A, Sarvari R (2015) On temperature dependency of delay for local, intermediate, and repeater inserted global copper interconnects. IEEE Transactions on Very Large Scale Integrtion (VLSI) Systems 23(12):3143–3147

    Article  Google Scholar 

  4. El-Moursy M, Friedman E (2004) Optimum wire sizing of RLC interconnect with repeaters. Elsevier Integration, the VLSI journal 38:205–225

  5. Ghoneima M, Ismail Y (2005) Optimum Positionaing of interleaved repeaters in bidirectional buses. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 24(3):461–469

    Article  Google Scholar 

  6. Kahng AB, Muddu S, Sarto E (1999) Interconnect optimization strategies for high-performance VLSI designs. In Proc of IEEE Int Conf on VLSI Design

  7. Karthikeyan A, Mallick PS (2017) Optimization techniques for CNT based VLSI interconnects—a review. Journal of Circuits, Systems and Computers 26(3):1730002

    Article  Google Scholar 

  8. Karthikeyan A, Mallick PS (October 2017) High-speed and low-power repeater for VLSI interconnects. J Semicond 38(10):105006

    Article  Google Scholar 

  9. Metra C, Rossi D, Omaña M, Jas A, Galivanche R (2008) Function-inherent code checking: a new low cost on-line testing approach for high performance microprocessor control logic. In Proc IEEE European Test Symposium:171–176

  10. Muddu S, Sarto E, Hofmann M, Bashteen A (1998) Repeater and interconnect strategies for high-performance physical designs. In Proc of XI Brazilian Symp on Integrated Circuit Design:226–231

  11. Murali S et al (2005) Analysis of error recovery schemes for networks on chips. IEEE Design &Test of Computers 22:434–442

    Article  Google Scholar 

  12. Pamunuwa D, Tenhuen H (2001) Repeater insertion to minimize delay in Couppled interconnects. In Proc of IEEE Int Conf on VLSI Design:513–517

  13. Petrucci V, Carrera EV, Loques O, Leite JCB, Mossé D (2011) Optimized Management of Power and Performance for virtualized heterogeneous server clusters. In Proc of IEEE/ACM International Symp On Cluster, Cloud and Grid Computing (CCGrid):23–32

  14. Predictive Technology Model, ASU, http://ptm.asu.edu/. Accessed Nov 2008

  15. Rabaey JM, Chandrakasan AP, Nikolic B (2003) Digital integrated circuit, design perapective. Prentice Hall

  16. Rossi D, Nieuwland AK, Katoch A, Metra C (2005) Exploiting ECC redundancy to minimize crosstalk impact. IEEE Design & Test of Computers 22:59–70

    Article  Google Scholar 

  17. Sridhara SR, Shanbhag NR (May 2007) Coding for reliable on-Chip buses: a class of fundamental bounds and practical codes. IEEE Trans on Computer-Aided Design of Integrated Circuits and Systems 26(5):977–982

    Article  Google Scholar 

  18. Xuebin W, Zhiyuan Y (April 2011) Efficient Codedc designs for crosstalk avoidance codes based on numeral systems. IEEE Trans on Very Large Scale Integrion (VLSI) Systems 19(4):548–558

    Article  Google Scholar 

  19. Zangeneh M, Nasser M (2009) An analytical delay reduction strategy for buffer-inserted global interconnects in VDSM technologies. in Proc IEEE European Conference on Circuit Theory and Design

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to M. Omaña.

Additional information

Responsible Editor: E. Amyeen

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Omaña, M., Govindaraj, S. & Metra, C. Low-Cost Strategy for Bus Propagation Delay Reduction. J Electron Test 35, 253–260 (2019). https://doi.org/10.1007/s10836-019-05787-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-019-05787-y

Keywords

Navigation