Skip to main content
Log in

An augmented Lagrangian method for VLSI global placement

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

By ignoring some cell overlaps, global placement computes the best position for each cell to minimize the wirelength. It is an important stage in very large scale integration (VLSI) physical design, since circuit performance heavily depends on the placement results. In this paper, we propose an augmented Lagrangian method to solve the VLSI global placement problem. In the proposed method, a cautious dynamic density weight strategy is used to balance the wirelength objective and the density constraints, and an adaptive step size is used to obtain a trade-off between runtime and solution quality. The proposed method is tested on the IBM mixed-size benchmarks and the International Symposium on Physical Design 2006 placement contest benchmarks. Experimental results show that our global placement method outperforms the state-of-the-art placement approaches in terms of solution quality on most of the benchmarks.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

References

  1. Alpert CJ, Mehta DP (2008) Handbook of algorithm for physical design automation. Auerbach Publications, New York, pp 277–284

  2. Chu C (2008) Chapter 11: placement in electronic design automation: synthesis, verification, and testing. In: Wang LT, Chang YW, Cheng KT (eds) Elsevier, Morgan Kaufmann

  3. Garey MR, Johnson DS (1979) Computers and intractability: a guide to the theory of NP-Completeness. W. H, Freeman and Company, NY

  4. Donath WE (1980) Complexity theory and design automation. In: ACM/IEEE design automation conference, pp 412–419

  5. Nam GJ, Cong J (2007) Modern circuit placement: best practices and results. Springer, New York

  6. Chang YW, Jiang ZW, Chen TC (2009) Essential issues in analytical placement algorithms. IPSJ Trans Syst LSI Des Methodol 2:145–166

    Article  Google Scholar 

  7. Sechen C, Sangiovanni-Vincentelli AL (1986) Timberwolf 3.2: a new standard cell placement and global routing package. In: Proceedings ACM/IEEE design automation conference. pp 432–439

  8. Wang M, Yang X, Sarrafzadeh M (2000) Dragon 2000: standard-cell placement tool for large industry circuits. In: Proceedings IEEE/ACM international conference on computer-aided design. pp 260–263

  9. Taghavi T, Yang X, Choi BK (2005) Dragon 2005: large-scale mixed-size placement toll. In: Proceedings IEEE/ACM international conference on computer-aided design. pp 212–217

  10. Chen J, Zhu WX, Ali MM (2011) A hybrid simulated annealing algorithm for nonslicing VLSI floorplanning. IEEE Trans Syst Man Cybern Part C Appl Rev 41(4):544–553

    Google Scholar 

  11. Caldwell AE, Kahng AB, Markov IL (2000) Can recursive bisection produce routable placements. In: Proceedings IEEE/ACM international conference on computer-aided design. pp 477–482

  12. Roy JA, Papa DA, Adya SN, Chan HH, Ng AN, Lu JF, Markov IL (2005) Capo: robust and scalable open-source min-cut floorplacer. In Proceedings international symposium on physical design. pp 224–226

  13. Agnihotri AR, Ono S, Li C, Yildiz MC, Khatkhate A, Koh CK, Madden PH (2005) Recursive bisection placement: feng shui 5.0 implementation detail. In: Proceedings international symposium on physical sesign. pp 230–232

  14. Roy JA, Adya SN, Papa DA, Markov IL (2006) Min-cut floorplacement. IEEE Trans Comput Aided Des Integr Circuits Syst 25(7):1313–1326

    Google Scholar 

  15. Caldwell AE, Kahng AB, Markov IL (2000) Design and implementation of move-based heuristics for VLSI hypergraph partitioning. ACM J Exp Algorithm 5

  16. Karypis G, Aggarwal R, Kumar V, Shekhar S (1997) Multilevel hypergraph partitioning: applications in VLSI domain. In: Proceedings Asia and South Pacific design automation conference. pp 526–529

  17. Luo T, Pan DZ (2008) Dplace2.0: a stable and efficient analytical placement based on diffusion. In: Proceedings Asia and South Pacific design automation conference. pp 346–351

  18. Viswanathan N, Pan M, Chu C (2007) Fastplace 3.0: a fast multilevel quadratic placement algorithm with placement congestion control. In: Proceedings Asia and South Pacific design automation conference. pp 135–140

  19. Kahng AB, Wang Q (2006) A faster implementation of APlace. In: Proceedings international symposium on physical design. pp 218–220

  20. Spindler P, Johannes FM (2006) Fast and robust quadratic placement combined with an exact linear net model. In: Proceeding IEEE/ACM international conference on computer-aided design. pp 179–186

  21. Chan T, Cong J, Shinnerl J, Sze K, Xie M (2006) mPL6: Enhanced multilevel mixed-sized placement. In: Proceedings international symposium on physical design. pp 212–214

  22. Chen TC, Jiang ZW, Hsu TC, Chen HC, Chang YW (2006) A high-quality mixed-size analytical placer considering preplaced blocks and density constraints. In: Proceedings IEEE/ACM international conference on computer-aided design. pp 187–192

  23. Spindler P, Schlichtmann U, Johannes FM (2008) Kraftwerk2- a fast force-directed quadratic placement approach using an accurate net model. IEEE Trans Comput Aided Des Integr Circuits Syst 27(8):1389–1411

    Google Scholar 

  24. Chen TC, Jiang ZW, Hsu TC, Chen HC, Chang YW (2008) NTUplace3: an analytical placer for large-scale mixed-size design with preplaced blocks and density constraints. IEEE Trans Comput Aided Des Integr Circuits Syst 27(7):1228–1240

    Google Scholar 

  25. Chen J, Zhu W (2012) An analytical placer for VLSI standard cell placement. IEEE Trans Comput Aided Des Integr Circuits Syst 31(8):1208–1221

    Google Scholar 

  26. Viswanathan N, Nam GJ, Alpert CJ, Villarrubia P, Ren H, Chu C (2007) RQL: global placement via relaxed quadratic spreading and linearization. In: Proceedings ACM/IEEE design automation conference. pp 453–458

  27. Kim MC, Lee DJ, Markov IL (2012) SimPL: an effective placement algorithm. IEEE Trans Comput Aided Des Integr Circuits Syst 31(1):50–60

    Google Scholar 

  28. Kim MC, Markov IL (2012) ComPLx: a competitive primal-dual Lagrange optimization for global placement. In: Proceedings ACM/IEEE design automation conference. pp 747–752

  29. Kim MC, Viswanathan N, Alpert CJ, Markov IL, Ramji S (2012) MAPLE: multilevel adaptive placement for mixed-size designs. In: Proceedings international symposium on physical design. pp 193–200

  30. Li C, Xie M, Koh CK, Cong J, Madden PH (2007) Recursive function smoothing of half-perimeter wirelength for analytical placement. In: Proceedings international symposium on physical design. pp 26–28

  31. Markov IL, Hu J, Kim MC (2012) Progress and challenges in VLSI placement research. In: Proceedings IEEE/ACM international conference on computer-aided design. pp 275–282

  32. ISPD (2006) Placement contest. http://archive.sigda.org/ispd2006/contest.html

  33. ISPD (2011) Routability-driven placement contest. http://www.ispd.cc/contests/11/ispd2011_contest.html

  34. DAC (2012) Routability-driven placement contest. http://archive.sigda.org/dac2012/contest/dac2012_contest.html

  35. Hestenes MR (1969) Survey paper: multiplier and gradient methods. J Optim Theory Appl 4(5):303–320

    Article  MATH  MathSciNet  Google Scholar 

  36. Naylor WC, Donelly R, Sha L (2001) US patent 6,301,693: Nonlinear optimization system and method for wire length and delay optimization for an automatic electric circuit placer

  37. He BS, Yang H, Wang SL (2000) Alternating direction method with self-adaptive penalty parameters for monotone variational inequalities. J Optim Theory Appl 106(2):337–356

    Article  MATH  MathSciNet  Google Scholar 

  38. Chan T, Cong J, Sze K (2005) Multilevel generalized force-directed method for circuit placement. In: Proceedings international symposium on physical design. pp 185–192

Download references

Acknowledgments

The authors would like to express their sincere appreciation to Prof. Yao-Wen Chang and his EDA Lab group, National Taiwan University, for providing the source code of NTUplace2 and the binary of NTUPlace3. Special thanks are also given to the editor and anonymous reviewers for their valuable suggestions and comments, which helped improving the quality of the manuscript greatly. This work was supported in part by the National Science Foundation of China (NSFC) under Grants 61170308 and 11326190, and in part by the National Key Basic Research Special Foundation (NKBRSF) of China under Grant 2011CB808000.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jianli Chen.

Additional information

A preliminary version of this paper was presented at the 13th International Conference on Parallel and Distributed Computing, Applications and Technologies in December 2012.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Zhu, W., Chen, J. & Li, W. An augmented Lagrangian method for VLSI global placement. J Supercomput 69, 714–738 (2014). https://doi.org/10.1007/s11227-014-1113-1

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-014-1113-1

Keywords

Navigation