Skip to main content
Log in

Probabilistic odd–even: an adaptive wormhole routing algorithm for 2D mesh network-on-chip

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Wormhole routing is a popular routing technique used in network-on-chip. It is efficient but susceptible to deadlock, while deadlock will significantly degrade the network performance of NoC. Most existing adaptive wormhole routings avoid deadlock by reducing the degree of adaptiveness and thus sacrificing network performance. In this paper, we address both deadlock and network performance issues jointly, and propose a probabilistic odd–even (POE) routing algorithm that achieves the minimum packet delivery delay. The proposed POE dynamically adjusts the probabilities of constrained turns that may lead to deadlocks according to the current network conditions, and uses an efficient deadlock detection and recovery scheme when a deadlock happens. By adopting constrained turns adaptively to the network status, it not only reduces the frequency of deadlock and allows the network to be swiftly recovered when it occurs, but also greatly improves the degree of adaptiveness to obtain high network performance. Experimental results show that our method achieves a significant performance improvement both in terms of network throughput and average packet latency compared with the existing methods such as XY, odd–even, abacus turn model and fully adaptive routing algorithm while it only has moderate energy consumption.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Similar content being viewed by others

Notes

  1. A north-west turn is made when a packet changes its direction from north to west [8].

  2. A column is called an even (or odd) column if its coordinate in dimension-x is an even (or odd) number [8].

References

  1. Oskin M, Torrellas J (2010) Laying a new foundation for IT: computer architecture for 2025 and beyond. In: Workshop on advancing computer architecture research (ACAR-II), September 20–21, 2010, Seattle

  2. O’Kelly P (2013) Computer simulation of thermal plant operations. Costec Systems Pty Ltd., Brookvale (Sydney), p 32

  3. Semiconductor Association (2006) The international technology roadmap for semiconductors (ITRS)

  4. Bjerregaard T, Mahadevan S (2006) A survey of research and practices of network-on-chip. ACM Comput Surveys 38:1–51

    Article  Google Scholar 

  5. Nikitin N, Chatterjee S, Cortadella J, Kishinevsky M, Ogras U (2010) Physical-aware link allocation and route assignment for chip multiprocessing. In: ACM/IEEE international symposium on networks-on-chip (NoCs)

  6. Mak T, Cheung PYK, Lam K, Luk W (2011) Adaptive routing in network-on-chips using a dynamic-programming network. IEEE Trans Ind Electr 58(8):3701–3716

    Article  Google Scholar 

  7. Duato J, Yalamanchili S, Ni L (2004) Interconnection networks an engineering approach. Morgan Kaufmann, San Francisco, pp 55–57

  8. Chiu GM (2000) The odd-even turn model for adaptive routing. IEEE Trans Parallel Distrib Syst 11:729–738

    Article  Google Scholar 

  9. Duato J (1993) A new theory of deadlock-free adaptive routing in wormhole networks. IEEE Trans Parallel Distrib Syst 4(12):1320–1331

    Article  Google Scholar 

  10. Anjan KV, Pinkston TM (1995) An efficient fully adaptive deadlock recovery scheme: DISHA. In: Proceedings of the 22nd international symposium on computer architecture, pp 201–210

  11. Ni LM, McKinley PK (1993) A survey of wormhole routing techniques in direct networks. Computer 26(2):62–76

    Article  Google Scholar 

  12. Glass CJ, Ni LM (1992) The turn model for adaptive routing. In: Proceedings of 19th annual international symposium on computer architecture, pp 278–287

  13. Fu B, Han Y, Ma J, Li H, Li X (2011) An abacus turn model for time/space-efficient reconfigutable routing. In: Proceedings of annual international symposium on computer architecture

  14. Joshi A, Mutyam M (2011) Prevention flow-control for low latency torus networks-on-chip. In: ACM/IEEE international symposium on networks-on-chip (NoCs)

  15. Jin Y, Kim EJ, Yum KH (2010) Design and analysis of on-chip networks for large-scale cache systems. IEEE Trans Comput 59(3):332–344

  16. Zhao D, Wu R (2012) Overlaid mesh topology design and deadlock free routing in wireless network-on-chip. In: ACM/IEEE international symposium on networks-on-chip (NoCs)

  17. Kinsy MA, Cho MH, Shim KS, Lis M, Suh GE, Devadas S (2013) Optimal and heuristic application-aware oblivious routing. IEEE Trans Comput 62(1):59–73

  18. Ramanujam RS, Lin B (2013) Randomized Throughput-optimal oblivious routing for torus networks. IEEE Trans Comput 62(3):561–574

    Article  MathSciNet  Google Scholar 

  19. Ebrahimi M, Daneshtalab M, Farahnakian F, Plosila J, Liljeberg P, Palesi M, Tenhunen H (2012) HARAQ: congestion-aware learning model for highly adaptive routing algorithm in on-chip networks. In: ACM/IEEE international symposium on networks-on-chip (NoCs)

  20. Matsutani H, Take Y, Sasaki D, Kimura M, Ono Y, Nishiyama Y, Koibuchi M, Kuroda T, Amano H (2011) A vertical bubble flow network using inductive-coupling for 3-D CMPs. In: ACM/IEEE international symposium on networks-on-chip (NoCs)

  21. Hu J, Marculescu R (2004) DyAD: smart routing for networks-on-chip. In: ACM/IEEE design automation conference (DAC)

  22. Cong J, Liu CY, Reinman G (2010) ACES: application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip. In: ACM/IEEE design automation conference (DAC)

  23. Dally WJ, Aoki H (1993) Deadlock-free adaptive routing in multicomputer networks using virtual channels. IEEE Trans Parallel Distrib Syst 4(4):466–475

    Article  Google Scholar 

  24. Ascia G, Catania V, Palesi M (2008) Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip. IEEE Trans Comput 57:809–820

    Article  MathSciNet  Google Scholar 

  25. Shin M, Kim J (2011) Leveraging torus topology with deadlock recovery for cost-efficient on-chip network. In: Proceedings IEEE 29th international conference on computer design (ICCD), pp 25–30

  26. Lankes A, Wild T, Herkersdorf A, Sonntag S, Reinig H (2010) Comparison of deadlock recovery and avoidance mechanisms to approach message dependent deadlocks in on-chip networks. In: ACM/IEEE international symposium on networks-on-chip (NoCs)

  27. Tsai W, Chu K, Chen S, Hu Y (2010) TM-FAR: turn-model based fully adaptive routing for networks on chip. In: Proceedings of the 18th IEEE/IFIP VLSI system on chip conference (VLSI-SoC), pp 19–24

  28. Hu S, Lin X (2012) A symmetric odd-even routing model in network-on-chip. In: Proceedings of the IEEE/ACIS 11th international conference on computer and information science (ICIS), pp 457–462

  29. Noxim (2008) Network-on-chip simulator. http://sourceforge.net/projects/noxim

  30. Dujaily RA, Mak T, Yakovlev A, Palesi M (2012) Embedded transitive closure network for run-time deadlock detection in networks-on-chip. IEEE Trans Parallel Distrib Syst 23(7):1205–1215

  31. Kakoee MR, Bertacco V, Benini L (2011) A distributed and topology-agnostic approach for on-line NoC testing. In: ACM/IEEE international symposium on networks-on-chip (NoCs)

Download references

Acknowledgments

This work is supported in part by the National Natural Science Foundation of China under Grants No. 60773199, U0735001, and 61073055.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Xiaola Lin.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Hu, S., Xu, W., Lin, J. et al. Probabilistic odd–even: an adaptive wormhole routing algorithm for 2D mesh network-on-chip. J Supercomput 70, 385–407 (2014). https://doi.org/10.1007/s11227-014-1250-6

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-014-1250-6

Keywords

Navigation