Skip to main content
Log in

A fault-tolerant hierarchical hybrid mesh-based wireless network-on-chip architecture for multicore platforms

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Wireless network on chip (WNoC) is a promising new solution for overcoming the constraints in the traditional electrical interconnections. However, the occurrence of faults has become more prevalent because of the continuous shrinkage of CMOS technology and integration of wireless technology in such complex circuits. This can lead to formation of faulty regions on chip, where the probability of the entire system failure increases in a significant manner. This issue is not addressed in the previous works on WNoC systems. In this article, a fault-tolerant hierarchical hybrid WNoC architecture is proposed. First, an innovative strategy is proposed for solving the problem of fault-tolerant wireless routers placement in standard mesh networks inspired by node-disjoint communication structures. Next, efficient fault-tolerant communication protocols are presented for applying this structure. The experimental results demonstrate the robustness of this proposed architecture in the presence of various fault regions under different traffic patterns.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19

Similar content being viewed by others

References

  1. Benini L, De Micheli G (2002) Networks on chip: a new paradigm for systems on chip design. Proc 2002 Des Autom Test Eur Conf Exhib IEEE Comput Soc:418–419. doi:10.1109/DATE.2002.998307

  2. Kaplan AB (2008) Architectural integration of RF-interconnect to enhance on-chip communication for Many-Core Chip Multiprocessors. Ph.D. diss., University of California Los Angeles

  3. Shacham A, Bergman K, Carloni LP (2008) Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors. IEEE Trans Comput 57:1246–1260. doi:10.1109/TC.2008.78

    Article  MathSciNet  Google Scholar 

  4. Deb S, Ganguly A, Pande PP et al (2012) Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges. IEEE J Emerg Sel Top Circuits Syst 2:228–239. doi:10.1109/JETCAS.2012.2193835

    Article  Google Scholar 

  5. Ogras UY, Marculescu R (2006) “It’s a small world after all”: NoC performance optimization via long-range link insertion. IEEE Trans Very Large Scale Integr Syst 14:693–706. doi:10.1109/TVLSI.2006.878263

    Article  Google Scholar 

  6. Sabbaghi-Nadooshan R, Modarressi M, Sarbazi-Azad H (2010) The 2D digraph-based NoCs: attractive alternatives to the 2D mesh NoCs. J Supercomput 59:1–21. doi:10.1007/s11227-010-0410-6

    Article  Google Scholar 

  7. ITRS Edition (2011) . http://www.itrs.net/Links/2011ITRS/Home2011.htm. Accessed 10 Oct 2014

  8. Ganguly A, Chang K, Deb S et al (2011) Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems. IEEE Trans Comput 60:1485–1502. doi:10.1109/TC.2010.176

    Article  MathSciNet  Google Scholar 

  9. Deb S, Chang K, Yu X et al (2013) Design of an Energy-Efficient CMOS-Compatible NoC Architecture with Millimeter-Wave Wireless Interconnects. IEEE Trans Comput 62:2382–2396. doi:10.1109/TC.2012.224

    Article  MathSciNet  Google Scholar 

  10. Chang K, Deb S, Ganguly A et al (2012) Performance evaluation and design trade-offs for wireless network-on-chip architectures. ACM J Emerg Technol Comput Syst 8:1–25. doi:10.1145/2287696.2287706

    Article  Google Scholar 

  11. Hu W-H, Wang C, Bagherzadeh N (2014) Design and analysis of a mesh-based wireless network-on-chip. J Supercomput. doi:10.1007/s11227-014-1341-4

    Google Scholar 

  12. Matolak D, Kodi A, Kaya S et al (2012) Wireless networks-on-chips: architecture, wireless channel, and devices. IEEE Wirel Commun 19:58–65. doi:10.1109/MWC.2012.6339473

    Article  Google Scholar 

  13. More A, Taskin B (2012) A unified design methodology for a hybrid wireless 2-D NoC. 2012 IEEE Int Symp Circuits Syst IEEE: 640–643. doi:10.1109/ISCAS.2012.6272113

  14. Chang K-C (2009) Reliable network-on-chip design for multi-core system-on-chip. J Supercomput 55:86–102. doi:10.1007/s11227-009-0376-4

    Article  Google Scholar 

  15. Radetzki M, Feng C, Zhao X, Jantsch A (2013) Methods for fault tolerance in networks-on-chip. ACM Comput Surv 46:1–38. doi:10.1145/2522968.2522976

    Article  Google Scholar 

  16. Wettin P, Pande PP, Heo D et al (2013) Design space exploration for reliable mm-wave wireless NoC architectures. IEEE 24th Int Conf Appl Syst Archit Process IEEE:79–82. doi:10.1109/ASAP.2013.6567554

  17. Ganguly A, Pande P, Belzer B, Nojeh A (2011) A unified error control coding scheme to enhance the reliability of a hybrid wireless network-on-chip. IEEE Int Symp Defect Fault Toler VLSI Nanotechnol Syst IEEE:277–285. doi:10.1109/DFT.2011.24

  18. Wettin P, Vidapalapati A, Gangul A, Pande PP (2013) Complex network-enabled robust wireless network-on-chip architectures. ACM J Emerg Technol Comput Syst 9:1–19. doi:10.1145/2491676

    Article  Google Scholar 

  19. Nojeh A, Pande P, Ganguly A et al (2008) Reliability of wireless on-chip interconnects based on carbon nanotube antennas. IEEE 14th Int Mix Sensors Syst Test Work IEEE:1–6. doi:10.1109/IMS3TW.2008.4581628

  20. Vijayakumaran V, Yuvaraj MP, Mansoor N et al (2014) CDMA Enabled Wireless Network-on-Chip. ACM J Emerg Technol Comput Syst 10:1–20. doi:10.1145/2536778

    Article  Google Scholar 

  21. Lloyd EL (2010) Fault-Tolerant Relay Node Placement in Heterogeneous Wireless Sensor Networks. IEEE Trans Mob Comput 9:643–656. doi:10.1109/TMC.2009.161

    Article  MathSciNet  Google Scholar 

  22. Chen C-W, Chung C-P (2005) Designing a disjoint paths interconnection network with fault tolerance and collision solving. J Supercomput 34:63–80. doi:10.1007/s11227-005-0327-7

    Article  Google Scholar 

  23. Furhad MH, Kim J-M (2014) A shortly connected mesh topology for high performance and energy efficient network-on-chip architectures. J Supercomput 69:766–792. doi:10.1007/s11227-014-1178-x

    Article  Google Scholar 

  24. Zhao D, Wang Y (2008) MTNet: Design of a Wireless Test Framework for Heterogeneous Nanometer Systems-on-Chip. IEEE Trans Very Large Scale Integr Syst 16:1046–1057. doi:10.1109/TVLSI.2008.2000820

    Article  Google Scholar 

  25. Zhao D, Wang Y, Li J, Kikkawa T (2011) Design of multi-channel wireless NoC to improve on-chip communication capacity!. Proc Fifth ACM/IEEE Int Symp Netw Chip ACM:177–184

  26. Zhao D, Wang Y (2008) SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip. IEEE Trans Comput 57:1230–1245. doi:10.1109/TC.2008.86

    Article  MathSciNet  Google Scholar 

  27. Deb S, Ganguly A, Chang K et al (2010) Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects. In: ASAP 2010–21st IEEE Int. Conf. Appl. Syst. Archit. Process. IEEE, pp 73–80. doi:10.1109/ASAP.2010.5540799

  28. Lee S-B, Zhang L, Cong J et al (2009) A scalable micro wireless interconnect structure for CMPs. In: Proc. 15th Annu. Int. Conf. Mob. Comput. Netw.—MobiCom ’09. ACM Press, New York. doi:10.1145/1614320.1614345

  29. Kempa K, Rybczynski J, Huang Z et al (2007) Carbon Nanotubes as Optical Antennae. Adv Mater 19:421–426. doi:10.1002/adma.200601187

    Article  Google Scholar 

  30. West DB (2001) Introduction to graph theory. Prentice hall, Upper Saddle River

    Google Scholar 

  31. Boppana RV, Chalasani S (1995) Fault-tolerant wormhole routing algorithms for mesh networks. IEEE Trans Comput 44:848–864. doi:10.1109/12.392844

    Article  MATH  Google Scholar 

  32. Duato J, Yalamanchili S, Ni LM (2003) Interconnection networks: an engineering approach. Morgan Kaufmann Publishers Inc, USA

    Google Scholar 

  33. Zhang Z, Greiner A, Taktak S (2008) A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip. Proc Des Autom Conf:441–446. doi:10.1109/DAC.2008.4555858

  34. Manevich R, Polishuk L, Cidon I, Kolodny A (2014) Designing single-cycle long links in hierarchical NoCs. Microprocess Microsyst 38:814–825. doi:10.1016/j.micpro.2014.05.005

    Article  Google Scholar 

  35. Varga A (2001) The OMNeT++ discrete event simulation system. Proc. Eur. Simul. Multiconference. sn. p 185

  36. Ben-Itzhak Y, Zahavi E, Cidon I, Kolodny A (2012) HNOCS: modular open-source simulator for Heterogeneous NoCs. Int Conf Embed Comput Syst IEEE. pp 51–57. doi:10.1109/SAMOS.2012.6404157

  37. Koohi S, Hessabi S (2011) Hierarchical opto-electrical on-chip network for future multiprocessor architectures. J Syst Archit 57:4–23. doi:10.1016/j.sysarc.2010.07.003

    Article  Google Scholar 

  38. Pande PP, Grecu C, Jones M et al (2005) Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans Comput 54:1025–1040. doi:10.1109/TC.2005.134

    Article  Google Scholar 

  39. Zhang Y, Hu X, Deutsch A et al (2011) Prediction and comparison of high-performance on-chip global interconnection. IEEE Trans Very Large Scale Integr Syst 19:1154–1166. doi:10.1109/TVLSI.2010.2047415

    Article  Google Scholar 

  40. Kahng a. B, Li BL Bin, Peh L-SPL-S, Samadi K (2009) ORION 2.0: A fast and accurate NoCapower and area model for early-stage design space exploration. 2009 Des Autom Test Eur Conf Exhib .pp 423–428. doi:10.1109/DATE.2009.5090700

  41. Hayenga M, Johnson DR, Lipasti M (2010) Pitfalls of orion-based simulation. ORION 35:0–40

    Google Scholar 

  42. Sun C, Chen C-HO, Kurian G, et al. (2012) DSENT—a Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling. 2012 IEEE/ACM Sixth Int. Symp. Networks-on-Chip. IEEE. pp 201–210. doi:10.1109/NOCS.2012.31

  43. PTM—Latest models. http://ptm.asu.edu/latest.html. Accessed 10 Oct 2014

  44. Francis RM (2009) Exploring networks-on-chip for FPGAs. Ph.D. diss., University of Cambridge

  45. Banerjee N, Vellanki P, Chatha KS (2004) A power and performance model for network-on-chip architectures. Proc Des Autom Test Eur Conf Exhib IEEE Comput Soc:1250–1255. doi:10.1109/DATE.2004.1269067

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kamal Jamshidi.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Dehghani, A., Jamshidi, K. A fault-tolerant hierarchical hybrid mesh-based wireless network-on-chip architecture for multicore platforms. J Supercomput 71, 3116–3148 (2015). https://doi.org/10.1007/s11227-015-1430-z

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-015-1430-z

Keywords

Navigation