Skip to main content
Log in

Thermal analysis of stochastic DVFS-enabled multicore real-time systems

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

This paper considers a multicore system, equipped with dynamic voltage/frequency scaling (DVFS), which runs real-time jobs with probabilistic characteristics. The DVFS policy directly affects the performance of this system as well as its power consumption through impacting both dynamic and leakage powers. While power consumption determines the processor thermal behavior, the temperature in turn affects the processor power consumption by impacting the leakage power. Additionally, temperature variation of a core is coupled with the thermal behaviors of the other cores, namely thermal effects of the surrounding components. These inter-effects make sophisticated relations between the nature of the stochastic real-time system and its performance, power consumption, and temperature behavior. In this paper, we first present an exact thermal analysis approach for the specified system considering these inter-effects. We then propose a scalable approximate method for thermal analysis of the system based on the exact analysis. The proposed analytical method outperforms the traditional simulation-based methods in terms of time complexity, by approximately three orders of magnitude, while introducing a relative error of less than 0.8 % for the mentioned setups. Also, we show the efficacy of the proposed analytical method in temperature-aware power minimization, resulting in significant reductions in the system-wide power consumption.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

Notes

  1. Summary of the main notations used throughout the paper is presented in “Appendix 2” (Table 5).

References

  1. Mesa-Martinez FJ, Ardestani EK, Renau J (2010) Characterizing processor thermal behavior, pp 193–204. doi:10.1145/1736020.1736043

  2. Ukhov I, Bao M, Eles P, Peng Z (2012) Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems, In: Proceedings of the 49th annual design automation conference, ser. DAC ’12. ACM, New York, NY, USA, pp 197–204. doi:10.1145/2228360.2228399

  3. Wang S, Munawar W, Liu J, Chen J-J, Liu X (2012) Power-saving design for server farms with response time percentile guarantees. In: Proceedings of the 18th Real Time and Embedded Technology and Applications Symposium, ser. RTAS ’12. Washington, DC, USA: IEEE Computer Society, 2012, pp 273–284. [Online]. doi:10.1109/RTAS.2012.35

  4. Lehoczky JP (1996) Real-time queueing theory. In: IEEE real-time systems symposium, pp 186–195

  5. Tanasa B, Bordoloi U, Eles P, Peng Z (2015) Probabilistic response time and joint analysis of periodic tasks. In: 27th euromicro conference on real-time systems (ECRTS), July 2015, pp 235–246

  6. Movaghar A (2006) On queuing with customer impatience until the end of service. J Stoch Models 22:149–173

    Article  MATH  MathSciNet  Google Scholar 

  7. Kargahi M, Movaghar A (2006) A method for performance analysis of earliest-deadline-first scheduling policy. J Supercomput 37(2):197–222

    Article  Google Scholar 

  8. Kargahi M, Movaghar A (2005) Non-preemptive earliest-deadline-first scheduling policy: a performance study. In: 13th IEEE international symposium on modeling, analysis, and simulation of computer and telecommunication systems. IEEE, pp 201–208

  9. Skadron K, Stan MR, Sankaranarayanan K, Huang W, Velusamy S, Tarjan D (2004) Temperature-aware microarchitecture: modeling and implementation. ACM Trans Archit Code Optim 1(1):94–125. doi:10.1145/980152.980157

    Article  Google Scholar 

  10. Rao R, Vrudhula S (2009) Fast and accurate prediction of the steady-state throughput of multicore processors under thermal constraints. Trans Comput Aided Des Integ Circuits Syst 28(10):1559–1572. doi:10.1109/TCAD.2009.2026361

  11. Jung H, Pedram M (2006) Stochastic dynamic thermal management: a Markovian decision-based approach. In: International conference on computer design, ICCD, Oct 2006, pp 452–457

  12. Jung H, Rong P, Pedram M (2008) Stochastic modeling of a thermally-managed multi-core system. In: Proceedings of the 45th annual design automation conference, ser. DAC ’08. ACM, New York, NY, USA, pp 728–733. doi:10.1145/1391469.1391657

  13. Zhang S, Chatha KS (2008) System-level thermal aware design of applications with uncertain execution time. In: Proceedings of the 2008 IEEE/ACM international conference on computer-aided design, ser. ICCAD ’08. IEEE Press, Piscataway, NJ, USA, pp 242–249. http://dl.acm.org/citation.cfm?id=1509456.1509518

  14. Quan G, Chaturvedi V (2010) Feasibility analysis for temperature-constraint hard real-time periodic tasks. IEEE Trans Ind Inform 6(3):329–339

    Article  Google Scholar 

  15. Schor L, Bacivarov I, Yang H, Thiele L (2012) Worst-case temperature guarantees for real-time applications on multi-core systems. In: IEEE real-time and embedded technology and applications symposium, pp 87–96

  16. Yang H, Bacivarov I, Rai D, Chen J-J, Thiele L (2013) Real-time worst-case temperature analysis with temperature-dependent parameters. Real-Time Syst 49(6):730–762

    Article  MATH  Google Scholar 

  17. Mohaqeqi M, Kargahi M (2013) Thermal analysis of periodic real-time systems with stochastic properties: an analytical approach. In: Proceedings of the 21st international conference on real-time networks and systems, ser. RTNS ’13. ACM, New York, NY, USA, pp 119–127. doi:10.1145/2516821.2516846

  18. Mohaqeqi M, Kargahi M, Movaghar A (2014) Analytical leakage-aware thermal modeling of a real-time system. IEEE Trans Comput 63(6):1378–1392

    Article  MathSciNet  Google Scholar 

  19. Mohaqeqi M, Kargahi M, Movaghar A (2012) Analytical leakage/temperature-aware power modeling and optimization for a variable speed real-time system. In: Proceedings of the 20th international conference on real-time and network systems, ser. RTNS ’12. ACM, pp 81–89. doi:10.1145/2392987.2392997

  20. Kumar P, Yang H, Bacivarov I, Thiele L (2014) Coolip: simple yet effective job allocation for distributed thermally-throttled processors. In: Design, automation and test in europe conference and exhibition (DATE), March 2014, pp 1–4

  21. Dai S, Hong M, Guo B, He Y, Zhang Q, Sun L, Du Y (2015) A formal approach for rt-dvs algorithms evaluation based on statistical model checking. Math Probl Eng 501:815230

    MathSciNet  Google Scholar 

  22. Rabaey JM (2009) Low power design essentials. Springer, Berlin

    Book  Google Scholar 

  23. Kopetz H (2011) Real-time systems: design principles for distributed embedded applications, vol 25. Springer, Berlin

    Book  Google Scholar 

  24. Liao W, He L, Lepak K (2005) Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Trans Comput Aided Des Integr Circuits Syst 24(7):1042–1053

    Article  Google Scholar 

  25. Liu Y, Dick R, Shang L, Yang H (2007) Accurate temperature-dependent integrated circuit leakage power estimation is easy. In: Design, automation and test in Europe, pp 1526–1531

  26. Fu Y, Kottenstette N, Lu C, Koutsoukos XD (2012) Feedback thermal control of real-time systems on multicore processors. In: Proceedings of the 10th ACM international conference on embedded software, ser. EMSOFT ’12. ACM, New York, NY, USA, pp 113–122. doi:10.1145/2380356.2380379

  27. Fisher N, Chen J-J, Wang S, Thiele L (2009) Thermal-aware global real-time scheduling on multicore systems. In: Proceedings of the 15th IEEE symposium on real-time and embedded technology and applications, ser. RTAS ’09. IEEE Computer Society, Washington, DC, USA, pp 131–140. doi:10.1109/RTAS.2009.34

  28. Rao R, Vrudhula S, Chakrabarti C (2007) Throughput of multi-core processors under thermal constraints. In: Proceedings of the international symposium on low power electronics and design, ser. ISLPED ’07. ACM, New York, NY, USA, pp 201–206. doi:10.1145/1283780.1283824

  29. Skadron K, Stan MR, Huang W, Velusamy S, Sankaranarayanan K, Tarjan D (2003) Temperature-aware microarchitecture: extended discussion and results, Apr. 2003

  30. Kant K (1992) Introduction to computer system performance evaluation. McGraw-Hill, New York

    Google Scholar 

  31. Gieseke B, Allmon R, Bailey D, Benschneider B, Britton S, Clouser J, Fair I, R H, Farrell J, Gowan M, Houghton C, Keller J, Lee T, Leibholz D, Lowell S, Matson M, Matthew R, Peng V, Quinn M, Priore D, Smith M, Wilcox K (1997) A 600 MHz superscalar riscmicroprocessor with out-of-order execution. In: IEEE international solid-state circuits conference, digest of technical papers, pp 176–177

  32. Li S, Ahn JH, Strong RD, Brockman JB, Tullsen DM, Jouppi NP (2013) The mcpat framework for multicore and manycore architectures: simultaneously modeling power, area, and timing. ACM Trans Archit Code Optim 10(1):5:1–5:29. doi:10.1145/2445572.2445577

  33. Guthaus MR, Ringenberg JS, Ernst D, Austin TM, Mudge T, Brown RB (2001) Mibench: a free, commercially representative embedded benchmark suite. In: 2001 IEEE international workshop on workload characterization, 2001. WWC-4. IEEE, pp 3–14

  34. Kirkpatrick S, Vecchi M (1983) Optimization by simmulated annealing. Science 220(4598):671–680

    Article  MATH  MathSciNet  Google Scholar 

  35. Kelley CT (1999) Iterative methods for optimization, vol 18. SIAM, Philadelphia

    Book  MATH  Google Scholar 

  36. Barrer D (1957) Queuing with impatient customers and ordered service. Oper Res 5(5):650–656

    Article  MathSciNet  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mehdi Kargahi.

Appendices

Appendix 1

For the proof of Lemma 1, we use an approach similar to [6, 36], which has been proposed for the analysis of a simpler system, namely a system with a single core and a constant speed. In the following, we assume that the system is in its statistical equilibrium. We use \(f_x(.)\) to denote the PDF of a random variable x, and \(\tau \) to denote a variable that gains its value from the set of non-negative real numbers. We define two random variables \(A_{m,n}(t)\) and \(B_{m,n}(t)\) as follows:

$$\begin{aligned} A_{m,n}(t)\equiv & {} \mathrm {\ the\ time\ a\ job\ being\ run\ in\ core\ } m \mathrm {\ at\ time\ } t \nonumber \\&\mathrm {\ has\ spent,\ given\ there\ are}\ n \mathrm {\ jobs\ in\ the\ core\ }\nonumber \\&\mathrm {\ queue,} \end{aligned}$$
(41)
$$\begin{aligned} B_{m,n}(t)\equiv & {} \ \mathrm {the\ time\ a\ job\ waiting\ at\ the\ head\ of\ the\ queue} \nonumber \\&\mathrm {of\ core\ } m \mathrm {\ at\ time\ } t\ \mathrm {has\ spent,\ given\ there\ are\ } \nonumber \\&n \mathrm {\ jobs\ in\ the\ core\ queue.} \end{aligned}$$
(42)

According to these definitions, the random variables \(A_{m,n}(t)\) and \(B_{m,n}(t)\) are well defined for \(n>0\) and \(n>1\), respectively. Further, we define:

$$\begin{aligned} D_{m,k}\equiv & {} \ \mathrm {the\ time\ of\ the\ } k\text {th} \mathrm {\ departure\ of\ a\ job,}\ k\ \mathrm {=1,2,\ldots ,} \nonumber \\&\mathrm {from\ core\ } m \mathrm {,\ given\ the\ departure\ finds\ } n\ \mathrm { jobs\ in\ }\nonumber \\&\mathrm {\ the\ core\ queue.} \end{aligned}$$
(43)
$$\begin{aligned} C_{m,k}\equiv & {} \ \mathrm {the\ time\ of\ the\ } k\text {th} \mathrm {\ departure\ of\ a\ job\ which\ has\ } \nonumber \\&\mathrm { successfully\ been\ served,}\ k\ \mathrm {=1,2,\ldots ,\ from\ core\ } m {,\ } \nonumber \\&\mathrm {given\ the\ departure\ finds\ } n\ \mathrm { jobs\ in\ the\ core\ queue.} \end{aligned}$$
(44)

For any time t, let \(t+\) and \(t-\) show a time immediately after and before t, respectively. Assuming statistical equilibrium, we define the following related random variables:

$$\begin{aligned} \tilde{A}_{m,n}= & {} \lim _{t \rightarrow \infty } A_{m,n}(t), \end{aligned}$$
(45)
$$\begin{aligned} A_{m,n}= & {} \lim _{k \rightarrow \infty } A_{m,n}(D_{m,k}+), \end{aligned}$$
(46)
$$\begin{aligned} \hat{A}_{m,n}= & {} \lim _{k \rightarrow \infty } A_{m,n}(C_{m,k}-). \end{aligned}$$
(47)

Using a method similar to which presented in [36], we can obtain the PDF of \(\tilde{A}_{m,n}\) as:

$$\begin{aligned} f_{\tilde{A}_{m,n}}(\tau )= & {} 0,\quad \mathrm {if}\ n=0, \nonumber \\ f_{\tilde{A}_{m,n}}(\tau )= & {} \frac{1-G_m(\tau )}{\mu _{m,n}\varPhi _{m,n}(\mu _{m,n})} \left[ \int _0^\tau (1-G_m(x))\hbox {d}x \right] ^{n-1} e^{-\mu _{m,n}\tau }, \nonumber \\&\mathrm {if}\ n>0, \end{aligned}$$
(48)

where \(\varPhi _{m,n}(.)\) is defined in (17).

Define the random variable \(S_{m,n}(t)\) as:

$$\begin{aligned} S_{m,n}(t)\equiv & {} \ \mathrm {the\ offered\ sojourn\ time\ (previously)\ seen\ } \nonumber \\&\mathrm {(upon\ arrival)\ by\ a\ job\ departing\ from\ core\ } m \nonumber \\&\mathrm {in\ the\ long\ run\ which\ finds\ } n \ \mathrm {\ jobs\ in\ the\ } \nonumber \\&\mathrm {respective\ queue.} \end{aligned}$$
(49)

We are interested in finding the PDF of \(S_{m,n}\).

Consider a job in the queue of core m completed its service successfully, while n (\(n>0\)) jobs exist in the queue. Then, \(\hat{A}_{m,n}\), defined in (47), shows the steady-state value of \(A_{m,n}(t)\) for the queue right before this service completion. Also, \(\hat{A}_{m,n}\) can be viewed as \(S_{m,n-1}\), conditioned by the event \(\{S_{m,n-1} \le \theta \}\). Hence, for \(n>0\), we have

$$\begin{aligned} P(\hat{A}_{m,n} \le \tau ) = P(S_{m,n-1} \le \tau \mid S_{m,n-1} \le \theta ). \end{aligned}$$
(50)

Define \(SD_{m,n}(t,t+\epsilon )\) as the event that a job in the queue of core m completes its service successfully during \((t,t+\epsilon ]\), given there are n jobs in the queue at time t. Then, we have

$$\begin{aligned} P(\hat{A}_{m,n} \le \tau ) = \lim _{t \rightarrow \infty } \lim _{\epsilon \rightarrow 0} P(A_{m,n}(t) \le \tau \mid SD_{m,n}(t,t+\epsilon )). \end{aligned}$$
(51)

As the execution demand of the jobs is exponentially distributed, the two events \(\{B_{m,n}(t) \le \tau \}\) and \(SD_{m,n}(t,t+\epsilon )\) are independent. Therefore, we have

$$\begin{aligned} \lim _{\epsilon \rightarrow 0} P(A_{m,n}(t) \le \tau \mid SD_{m,n}(t,t+\epsilon ))=P(A_{m,n}(t) \le \tau ), \end{aligned}$$
(52)

or

$$\begin{aligned} P(\hat{A}_{m,n} \le \tau ) = \lim _{t \rightarrow \infty } P(A_{m,n}(t) \le \tau )=P(\tilde{A}_{m,n} \le \tau ). \end{aligned}$$
(53)

Thus, from (50), we have

$$\begin{aligned} P(\tilde{A}_{m,n} \le \tau ) = P(S_{m,n-1} \le \tau \mid S_{m,n-1} \le \theta ). \end{aligned}$$
(54)

Then, using \(f_{\tilde{A}_{m,n}}(.)\) in (48) above, the PDF of \(f_{S_{m,n}}(\tau )\) can be obtained as

$$\begin{aligned} f_{S_{m,n}}(\tau ) =&\frac{1}{\varPhi _{m,n}(\mu _{m,n+1})} \left[ \int _0^\tau (1-G_m(x))\hbox {d}x \right] ^{n} e^{-\mu _{m,n+1}\tau }, \end{aligned}$$
(55)

where \(\varPhi _{m,n}(\mu )\) is defined in (17).

Consider a job in the queue of core m which is going to depart immediately. The probability that this job meets its deadline can be expressed as \(P(S_{m,n-1} \le \theta )\). Using the formulation of \(f_{S_{m,n}}(.)\) provided in (55), we immediately get

$$\begin{aligned} P(S_{m,n-1} \le \theta ) = \frac{\mu _{m,n} \varPhi _{m,n}(\mu _{m,n})}{n \varPhi _{m,n-1}(\mu _{m,n})} , \quad \mathrm {if} \ n>0. \end{aligned}$$
(56)

Finally, for calculating \(\gamma _{m,n}\), consider a job that immediately departs from the queue of core m, while it contains n jobs, \(n>0\). According to the definition of \(\gamma _{m,n}\), the probability that this job meets its deadline is equal to

$$\begin{aligned} \frac{\mu _{m,n}}{\mu _{m,n}+\gamma _{m,n}}. \end{aligned}$$
(57)

Further, this probability can also be obtained as \(P(S_{m,n-1} \le \theta )\), where \(\theta \) is the relative deadline of the departing job. As a result, we have

$$\begin{aligned} P(S_{m,n-1} \le \theta ) = \frac{\mu _{m,n}}{\mu _{m,n}+\gamma _{m,n}}. \end{aligned}$$
(58)

Using (56) and (58), \(\gamma _{m,n}\) is obtained as provided in (18), which completes the proof.

Appendix 2

See Table 5.

Table 5 List of the main notations used throughout the paper

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Mohaqeqi, M., Kargahi, M. Thermal analysis of stochastic DVFS-enabled multicore real-time systems. J Supercomput 71, 4594–4622 (2015). https://doi.org/10.1007/s11227-015-1562-1

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-015-1562-1

Keywords

Navigation