Skip to main content
Log in

Design and analysis of SRAM cell using reversible logic gates towards smart computing

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

With the enhancement of technology, the usage of electronics in various applications involving large memories for storing and processing data has increased. In this sort of application, SRAM is mainly used because of its high speed. Moreover, with the high usage of memory cells, power consumption has increased to a great extent. The current literature shows that the various parameters of SRAM, such as speed and power, need to be improved for memory cells used in object tracking applications. To improve these parameters, the architectures of SRAM must be combined with new techniques. In recent years, reversible circuits have gained extensive attention because of their low-power and low-speed characteristics. In this brief, a low-power high-speed reversible static RAM is proposed. The proposed SRAM has the combined features of data processing with low-power dissipation and high speed. The proposed architecture of SRAM yields better performance and is similar to traditional SRAM architecture in terms of delay. This paper also implements a 32 × 64 memory block for object tracking applications. This work is carried out with 45 nm CMOS technology. In the proposed design, transistors are made to operate in the weak inversion region through the use of the EKV model. The design proposed in this paper reduces garbage outputs by 60%, the quantum cost by 70%, and the quantum delay by 70% compared to the current architectures. The proposed design is simulated at different supply voltages to ensure that the power dissipation and delay of SRAM are proportional to the voltage supplied.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20

Similar content being viewed by others

References

  1. Wu S-L, Lu C-Y, Tu M-H, Chuang C-T (2016) A 0.35 V, 375 kHz, 5.43 uW, 40 nm, 128 kb, symmetrical 10T subthreshold SRAM with tri state bit-line. Microelectron J 51:89–98. https://doi.org/10.1016/j.mejo.2016.02.011

    Article  Google Scholar 

  2. Chen J, Chong KS, Gwee BH (2014) Ultra-low power read-decoupled SRAMs with ultra-low write-bitline voltage swing. Circuits Syst Signal Process 33:3317–3329. https://doi.org/10.1007/s00034-014-9791-8

    Article  Google Scholar 

  3. Kushwah CB, Vishvakarma SK, Dwivedi D (2016) Single-ended boost-less (SE-BL) 7T process tolerant SRAM design in sub-threshold regime for ultra-low-power applications. Circuits Syst Signal Process 35:385–407. https://doi.org/10.1007/s00034-015-0086-5

    Article  Google Scholar 

  4. Moghaddam M, Timarchi S, Moaiyeri MH et al (2016) An ultra-low-power 9T SRAM cell based on threshold voltage techniques. Circuits Syst Signal Process 35:1437–1455. https://doi.org/10.1007/s00034-015-0119-0

    Article  Google Scholar 

  5. Anh-Tuan D, Zhi-Hui K, Kiat-Seng Y (2008) Hybrid-mode SRAM sense amplifiers: new approach on transistor sizing. IEEE Trans Circuits Syst II 55:986–990. https://doi.org/10.1109/TCSII.2008.2001965

    Article  Google Scholar 

  6. Lai YC, Huang SY (2008) A resilient and power-efficient automatic-power-down sense amplifier for SRAM design. IEEE Trans Circuits Syst II Exp Briefs 55:1031–1035. https://doi.org/10.1109/TCSII.2008.926797

    Article  Google Scholar 

  7. Zhai B, Hanson S, Blaauw D, Sylvester D (2008) A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE J Solid-State Circuits 43:2338–2348. https://doi.org/10.1109/JSSC.2008.2001903

    Article  Google Scholar 

  8. Calhoun BH, Chandrakasan AP (2007) A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation. IEEE J Solid-State Circuits 42:680–688. https://doi.org/10.1109/JSSC.2006.891726

    Article  Google Scholar 

  9. Kim T-H, Liu J, Kim CH (2007) An 8T subthreshold SRAM cell utilizing reverse short channel effect for write margin and read performance improvement. IEEE Custom Integr Circuits Conf (CICC) 7:241–244

    Google Scholar 

  10. Chen J, Clark LT, Chen T-H (2006) An ultra-low-power memory with a subthreshold power supply voltage. IEEE J Solid-State Circuits 41(10):2344–2353. https://doi.org/10.1109/JSSC.2006.881549

    Article  Google Scholar 

  11. Chang L et al (2008) An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J Solid-State Circuits 43(4):956–963. https://doi.org/10.1109/JSSC.2007.917509

    Article  Google Scholar 

  12. AM Tekalp (1995) Digital video processing. Englewood Cliffs, NJ, USA

  13. Yilmaz A, Javed O, Shah M (2006) Object tracking: a survey. ACM Comput Surv 38(4):1–45. https://doi.org/10.1145/1177352.1177355

    Article  Google Scholar 

  14. Amit Y (2002) 2D object detection and recognition. MIT Press, Cambridge

    Book  Google Scholar 

  15. Bovic AL (2000) Image and video processing. Academic, New York

    Google Scholar 

  16. Gonzalez RF, Wood RE (2001) Digital image processing. Pearson Education, Singapore

    Google Scholar 

  17. Azab MM, Shedeed HA, Hussein AS (2014) New technique for online object tracking-by-detection in video. IET Image Process 8(12):794–803

    Article  Google Scholar 

  18. Zhang S, Wang C, Chan SC, Wei X, Ho CH (2015) New object detection, tracking, and recognition approaches for video surveillance over camera network. IEEE Sens J 15(5):2679–2691. https://doi.org/10.1109/JSEN.2014.2382174

    Article  Google Scholar 

  19. Behera RK, Kharade P, Yerva S, Dhane P, Jain A, Kutty K (2012) Multicamera based surveillance system. In: Proc. World Congr. Inf. Commun. Technol. (WICT), Trivandrum, India, pp 102–108

  20. Agarwal K, Nassif S (2008) The impact of random device variation on SRAM cell stability in sub-90-nm CMOS technologies. IEEE Trans Very Large Scale Integr VLSI Syst 16(1):86–97

    Article  Google Scholar 

  21. Teman A, Pergament L, Cohen O, Fish A (2011) A 250 mV 8 kb 40 nm ultra-low power 9T supply feedback SRAM (SF-SRAM). IEEE J Solid-State Circuits 46(11):2713–2726. https://doi.org/10.1109/JSSC.2011.2164009

    Article  Google Scholar 

  22. Hiramoto T, Suzuki M, Song X, Shimizu K, Saraya T, Nishida A, Tsunomura T, Kamohara S, Takeuchi K, Mogami T (2011) Direct Measurement of Correlation between SRAM noise margin and individual cell transistor variability by using device matrix array. IEEE Trans Electron Dev 58(8):2249–2256. https://doi.org/10.1109/TED.2011.2138142

    Article  Google Scholar 

  23. Morrison M, Lewandowski M, Meana R, Ranganathan N (2011) Design of static and dynamic RAM arrays using a novel reversible logic gate and decoder. In:11th IEEE Conference on Nanotechnology (IEEE-NANO), pp 417–420

  24. Mahammad S, Veezhinathan K (2010) Constructing online testable circuits using reversible logic. IEEE Trans Instrum Meas 59(1):101–109. https://doi.org/10.1109/TIM.2009.2022103

    Article  Google Scholar 

  25. Sansen (2006) Analog design essentials

  26. Morrison M, Ranganathan N (2014) Synthesis of dual-rail adiabatic logic for low power security applications. IEEE Trans Comput Aided Des Integr Circuits Syst 33(7):975–988. https://doi.org/10.1109/TCAD.2014.2313454

    Article  Google Scholar 

  27. Rezaei E, Donato M et al (2020) Fundamental thermal limits on data retention in low-voltage CMOS latches and SRAM. IEEE Trans Device Mater Reliab 20(3):488–497. https://doi.org/10.1109/TDMR.2020.2996627

    Article  Google Scholar 

  28. Ataei S, Stine JE (2017) A 64 kB approximate SRAM architecture for low-power video applications. IEEE Embedded Syst Lett 10(1):10–13. https://doi.org/10.1109/LES.2017.2750140

    Article  Google Scholar 

  29. Torrens G, Alheyasat A, Alorda B, Barceló S, Segura J, Bota SA (2020) Transistor width effect on the power supply voltage dependence of α-SER in CMOS 6T SRAM. IEEE Trans Nucl Sci 67(5):811–817. https://doi.org/10.1109/TNS.2020.2983586

    Article  Google Scholar 

  30. Raine M, Gaillardin M, Lagutere T, Duhamel O, Paillet P (2018) Estimation of the single event upset sensitivity of advanced SOI SRAMs. IEEE Trans Nucl Sci 65(1):339–345. https://doi.org/10.1109/TNS.2017.2779786

    Article  Google Scholar 

  31. Surana N, Mekie J (2019) Energy efficient single-ended 6-T SRAM for multimedia applications. IEEE Trans Circuits Syst II Exp Briefs 66(6):1023–1027. https://doi.org/10.1109/TCSII.2018.2869945

    Article  Google Scholar 

  32. Yadav N, Shah AP, Vishvakarma SK (2017) Stable, reliable and bit-interleaving 12T SRAM for space applications: a device circuit co-design. IEEE Trans Semicond Manuf 30(3):276–284. https://doi.org/10.1109/TSM.2017.2718029

    Article  Google Scholar 

  33. Singh P, Vishvakarma SK (2017) Ultra-low power high stability 8T SRAM for application in object tracking system. IEEE Access 6:2279–2290. https://doi.org/10.1109/ACCESS.2017.2782740

    Article  Google Scholar 

  34. Zheng N, Mazumder P (2017) Modeling and mitigation of static noise margin variation in subthreshold SRAM cells. IEEE Trans Circuits Syst I Regul Pap 64(10):2726–2736. https://doi.org/10.1109/TCSI.2017.2700818

    Article  Google Scholar 

  35. Zamani M, Hassanzadeh S, Hajsadeghi K, Saeidi R (2013) A 32kb 90nm 9T -SRAM cell sub-threshold SRAM with improved read and write SNM. In: International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), pp 104–107

  36. Chen Y, Hu Y, Zhou J, Ni T, Cui J, Girard P, Wen X (2020) Novel speed-and-power-optimized SRAM cell designs with enhanced self-recoverability from single- and double-node upsets. IEEE Trans Circuits Syst I Regul Pap 67(12):4684–4695. https://doi.org/10.1109/TCSI.2020.3018328

    Article  Google Scholar 

  37. Ali M, Jaiswal A, Kodge S, Agrawal A, Chakraborty I, Roy K (2020) IMAC: in-memory multi-bit multiplication and accumulation in 6T SRAM array. IEEE Trans Circuits Syst I Regul Pap 67(8):2521–2531. https://doi.org/10.1109/TCSI.2020.2981901

    Article  Google Scholar 

  38. Liu Y, Shi Z, Pan W, Lan F (2020) A VDD correction method for static stability test of SRAM bit cell. IEEE Trans Device Mater Reliab 20(3):530–540. https://doi.org/10.1109/TDMR.2020.3004940

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to O. Mohana chandrika.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Mohana chandrika, O., Siva kumar, M. Design and analysis of SRAM cell using reversible logic gates towards smart computing. J Supercomput 78, 2287–2306 (2022). https://doi.org/10.1007/s11227-021-03851-z

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-021-03851-z

Keywords

Navigation