Skip to main content
Log in

Transit ring: bubble flow control for eliminating inter-ring communication congestion

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Bubble flow control is a flow control mechanism commonly used in torus network. Bubble flow control ensures the orderly flow of packets in the ring by efficiently allocating intra-ring buffer resources and solves the intra-ring deadlock problem. However, inter-ring communication with bubble flow control is subject to strict limitations. When packets are communicated across the rings, starvation may occur, resulting in prolonged blocking within the ring. Inefficient inter-ring communication not only generates Head-of-Line blocking, but also affects the whole intra-ring communication through the backpressure mechanism. We propose a Transit Ring design that establishes an alternate ring link in the torus network by reusing free buffers in the router. By evacuating packets blocking inter-ring communication into the Transit Ring, starvation, as well as Head-of-Line blocking, in the network is eliminated. Compared to state-of-the-art bubble flow control, our proposed design improves saturation throughput by an average of 15.9% in a 4\(\times\)4 torus network and 17.06% in an 8\(\times\)8 torus network.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

Data availability statement

The datasets generated during and/or analyzed during the current study are available from the corresponding author on reasonable request.

References

  1. Wang B, Lu Z (2020) Advance virtual channel reservation. IEEE Trans Comput 69(9):1320–1334

    Article  MATH  Google Scholar 

  2. Wu Y, Wang L, Wang X, Han J, Yin S, Wei S, Liu L (2021) A deflection-based deadlock recovery framework to achieve high throughput for faulty nocs. IEEE Trans Comput Aided Des. Integr. Circuits Syst. 40(10):2170–2183

  3. Rezaei-Ravari M, Naeini VS (2018) Reliable congestion-aware path prediction mechanism in 2D nocs based on efunn. J Supercomput 74(11):6102–6125

    Article  Google Scholar 

  4. Alverson R, Roweth D, Kaplan L (2010) The gemini system interconnect. In: Petrini F, Abts D, Brightwell R, Balaji P, Minkenberg C (eds) IEEE 18th Annual Symposium on High Performance Interconnects, HOTI 2010, Google Campus, Mountain View, California, USA, 18–20Aug 2010, pp 83–87. IEEE Computer Society

  5. Sun C, Ouyang Y, Liang H (2022) Architecting a congestion pre-avoidance and load-balanced wireless network-on-chip. J Parall Distrib Comput 161:143–154

    Article  Google Scholar 

  6. Ma S, Wang Z, Liu Z, Jerger NDE (2015) Leaving one slot empty: Flit bubble flow control for torus cache-coherent nocs. IEEE Trans Comput 64(3):763–777

    Article  MathSciNet  MATH  Google Scholar 

  7. Chen D, Eisley N, Heidelberger P, Senger RM, Sugawara Y, Kumar S, Salapura V, Satterfield DL, Steinmacher-Burow BD, Parker JJ (2012) The IBM blue gene/q interconnection fabric. IEEE Micro 32(1):32–43

    Article  Google Scholar 

  8. Dally WJ, Towles B (2002) Principles and practices of interconnection networks

  9. Chen L, Wang R, Pinkston TM (2011) Critical bubble scheme: an efficient implementation of globally aware network flow control. In: 25th IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2011, Anchorage, Alaska, USA, 16–20—Conference Proceedings, pp 592–603. IEEE

  10. Tang M, Lin X (2010) Quarter load threshold (qlt) flow control for wormhole switching in mesh-based network-on-chip. J Syst Arch 56(9):452–462

    Article  Google Scholar 

  11. Satish E, Ramachandra A (2022) Comparative performance analysis of routing topology for noc architecture. In: Emerging Research in Computing, Information, Communication and Applications, pp D431–440. Springer

  12. Shikama Y, Kawano R, Matsutani H, Amano H, Nagasaka Y, Fukumoto N, Koibuchi M (2022) A traffic-aware memory-cube network using bypassing. Microprocess Microsyst 90:104471

    Article  Google Scholar 

  13. Ouyang Y, Li R, Hu C, Wang Q, Lu Y, Liang H (2022) Design of fully adaptive routing and hybrid vc allocation in wireless noc. Microelectron J 120:105338

    Article  Google Scholar 

  14. Kwauk G, Kang S, Kasan H, Son H, Kim J (2021) Boomgate: Deadlock avoidance in non-minimal routing for high-radix networks. In:2021 IEEE international symposium on high-performance computer architecture (HPCA), pp 696–708 . IEEE

  15. Dai Y, Lu K, Ma S, Chang J (2022) Full-credit flow control: a novel technique to implement deadlock-free adaptive routing. In:2022 design, automation and test in Europe conference and exhibition (DATE), pp 1041–1046. IEEE

  16. Das S, Karfa C (2022) Deadlock avoidance in torus noc applying controlled move via wraparound channels. In: Artificial Intelligence Driven Circuits and Systems, pp 87–99. Springer

  17. Puente V, Izu C, Beivide R, Gregorio JA, Vallejo F, Prellezo JM (2001) The adaptive bubble router. J Parall Distrib Comput 61(9):1180–1208

    Article  MATH  Google Scholar 

  18. Carrión C, Izu C, Gregorio JA, Vallejo F, Beivide R (1998) Ghost packets: a deadlock-free solution for k-ary n-cube networks. In: Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing—PDP ’98, University of Madrid, Madrid, Spain, 21–23 Jan, pp 133–139. IEEE Computer Society

  19. Kermani P, Kleinrock L (1979) Virtual cut-through: a new computer communication switching technique. Comput Netw 3:267–286

    MathSciNet  MATH  Google Scholar 

  20. Chen L, Pinkston TM (2013) Worm-bubble flow control. In: 19th IEEE International Symposium on High Performance Computer Architecture, HPCA , Shenzhen, China, 23–27 Feb 2013, pp 366–377. IEEE Computer Society

  21. Duato J (1995) A theory of deadlock-free adaptive multicast routing in wormhole networks. IEEE Trans Parall Distrib Syst 6(9):976–987

    Article  Google Scholar 

  22. Puente V, Beivide R, Gregorio JA, Prellezo JM, Duato J, Izu C (1999) Adaptive bubble router: A design to improve performance in torus networks. In: Proceedings of the International Conference on Parallel Processing 1999, ICPP , Wakamatsu, Japan, 21–24 Sept 1999, pp 58–67. IEEE Computer Society

  23. Wang R, Chen L, Pinkston TM (2013) Bubble coloring: avoiding routing- and protocol-induced deadlocks with minimal virtual channel requirement. In: Malony AD, Nemirovsky M, Midkiff SP (eds) International Conference on Supercomputing, ICS’13, Eugene, OR, USA. 10–14 June 2013, pp. 193–202. ACM

  24. Ramrakhyani A, Krishna T (2017) Static bubble: a framework for deadlock-free irregular on-chip topologies. In: 2017 IEEE International Symposium on High Performance Computer Architecture, HPCA 2017, Austin, TX, USA, 4–8 Feb 2017, pp 253–264. IEEE Computer Society

  25. Parasar M, Krishna T (2019) BINDU: deadlock-freedom with one bubble in the network. In: Bogdan P, Silvano C (eds) Proceedings of the 13th IEEE/Acm International Symposium on Networks-on-chip, NOCS 2019, New York, NY, USA, 17–18 Oct 2019, pp 3–138. ACM

  26. Parasar M, Sinha A, Krishna T (2018) Brownian bubble router: enabling deadlock freedom via guaranteed forward progress. In: Twelfth IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2018, Torino, Italy, 4–5 Oct 2018, pp 9–198. IEEE

  27. Fu B, Kim J (2017) Footprint: Regulating routing adaptiveness in networks-on-chip. In: Proceedings of the 44th Annual International Symposium on Computer Architecture, ISCA 2017, Toronto, ON, Canada, 24–28 June 2017, pp 691–702. ACM

  28. Li C, Dong D, Lu Z, Liao X (2018) Rob-router: a reorder buffer enabled low latency network-on-chip router. IEEE Trans Parall Distrib Syst 29(9):2090–2104

    Article  Google Scholar 

  29. Ouyang Y, Yang J, Xing K, Huang Z, Liang H (2018) An improved communication scheme for non-hol-blocking wireless noc. Integral 60:240–247

    Article  Google Scholar 

  30. Parasar M, Jerger NDE, Gratz PV, Miguel JS, Krishna T (2021) SEEC: stochastic escape express channel. In: de Supinski, B.R., Hall, M.W., Gamblin, T. (eds.) SC ’21: The International Conference for High Performance Computing, Networking, Storage and Analysis, St. Louis, Missouri, USA, 14–19 Nov 2021, pp 34–13414. ACM

  31. Ahmed AB, Abdallah AB (2012) LA-XYZ: low latency, high throughput look-ahead routing algorithm for 3d network-on-chip (3d-noc) architecture. In: IEEE 6th International Symposium on Embedded Multicore/manycore SoCs, MCSoC 2012, Fukushima, Japan, 20–22 Sept 2012, pp 167–174. IEEE Computer Society

  32. Peh L, Dally WJ (2001) A delay model and speculative architecture for pipelined routers. In: Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA’01), Nuevo Leone, Mexico, 20–24 Jan 2001, pp 255–266. IEEE Computer Society

  33. Farrokhbakht H, Kao H, Hasan K, Gratz PV, Krishna T, Miguel JS, Jerger NDE (2021) Pitstop: Enabling a virtual network free network-on-chip. In: IEEE International Symposium on High-Performance Computer Architecture, HPCA 2021, Seoul, South Korea, Feb 27–Mar 3, pp 682–695. IEEE

  34. Song YH, Pinkston TM (2003) A progressive approach to handling message-dependent deadlock in parallel computer systems. IEEE Trans Parallel Distrib Syst 14(3):259–275

    Article  Google Scholar 

  35. Hansson A, Goossens K, Radulescu A (2007) Avoiding message-dependent deadlock in network-based systems on chip. VLSI Design, pp 95859–19585910

  36. Ma S, Jerger NDE, Wang Z (2012) Whole packet forwarding: Efficient design of fully adaptive routing algorithms for networks-on-chip. In: 18th IEEE International Symposium on High Performance Computer Architecture, HPCA 2012, New Orleans, LA, USA, 25–29 pp. 467–478. IEEE Computer Society

  37. Zoni D, Flich J, Fornaciari W (2016) CUTBUF: buffer management and router design for traffic mixing in vnet-based nocs. IEEE Trans Parall Distrib Syst 27(6):1603–1616

    Article  Google Scholar 

  38. Gangwar A, Sreedharan R, Prasad A, Agarwal NK, Gade SH (2021) Topology agnostic virtual channel assignment and protocol level deadlock avoidance in a network-on-chip. In: 58th ACM/IEEE Design Automation Conference, DAC 2021, San Francisco, CA, USA, 5–9 Dec 2021, pp 61–66. IEEE

  39. Mukherjee SS, Bannon PJ, Lang S, Spink A, Webb D (2002) The alpha 21364 network architecture. IEEE Micro 22(1):26–35

    Article  Google Scholar 

  40. Wentzlaff D, Griffin P, Hoffmann H, Bao L, Edwards B, Ramey C, Mattina M, Miao C, III JFB, Agarwal A (2007) On-chip interconnection architecture of the tile processor. IEEE Micro 27(5):15–31

  41. Catania V, Mineo A, Monteleone S, Palesi M, Patti D (2015) Noxim: An open, extensible and cycle-accurate network on chip simulator. In: 26th IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2015, Toronto, ON, Canada, 27–29 July 2015, pp 162–163. IEEE Computer Society

  42. Bienia C, Kumar S, Singh JP, Li K (2008) The PARSEC benchmark suite: characterization and architectural implications. In: 17th International Conference on Parallel Architectures and Compilation Techniques, PACT 2008, Toronto, Ontario, Canada, 25–29 Oct 2008, pp 72–81. ACM

Download references

Acknowledgements

This study was funded by the National Natural Science Foundation of China (NSFC) research Projects (Grant Number 61874157).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Chenglong Sun.

Ethics declarations

Conflict of interest

All authors declare that they have no conflict of interest that are relevant to the content of this article.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Ouyang, Y., Sun, C., Li, R. et al. Transit ring: bubble flow control for eliminating inter-ring communication congestion. J Supercomput 79, 1161–1181 (2023). https://doi.org/10.1007/s11227-022-04712-z

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-022-04712-z

Keywords

Navigation