Skip to main content
Log in

A Hardware Acceleration Platform for Digital Holographic Imaging

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

This paper presents a hardware acceleration platform for image reconstruction in digital holographic imaging. The hardware accelerator executes a computationally demanding reconstruction algorithm which transforms an interference pattern captured on a digital image sensor into visible images. Focus in this work is to maximize computational efficiency, and to minimize the external memory transfer overhead, as well as required internal buffering. The paper presents an efficient processing datapath with a fast transpose unit and an interleaved memory storage scheme. The proposed architecture results in a speedup with a factor 3 compared with the traditional column/row approach for calculating the two-dimensional FFT. Memory sharing between the computational units reduces the on-chip memory requirements with over 50%. The custom hardware accelerator, extended with a microprocessor and a memory controller, has been implemented on a custom designed FPGA platform and integrated in a holographic microscope to reconstruct images. The proposed architecture targeting a 0.13 µm CMOS standard cell library achieves real-time image reconstruction with 20 frames per second.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8
Figure 9
Figure 10
Figure 11
Figure 12
Figure 13
Figure 14
Figure 15
Figure 16
Figure 17
Figure 18
Figure 19

Similar content being viewed by others

References

  1. Schnars, U., & Jueptner, W. (2005). Digital holography. Berlin: Springer.

    Google Scholar 

  2. Gustafsson, M., et al. (2004). High resolution digital transmission microscopy—A Fourier holography approach. Optics and Lasers in Engineering, 41(3), 553–563 (March).

    Article  Google Scholar 

  3. Born, M., & Wolf, E. (1999). Principles of optics. Cambridge, UK: Cambridge University Press.

    Google Scholar 

  4. Demetrakopoulos, T. H., & Mittra, R. (1974). Digital and optical reconstruction of suboptical diffraction patterns. Applied Optics, 13, 665–670 (March).

    Article  Google Scholar 

  5. Wenbo, Xu, Jericho, M. H., Meinertzhagen, I. A., & Kreuzer, H. J. (2001). Digital in-line holography for biological applications. Cell Biology, 98, 11301–11305 (September).

    Google Scholar 

  6. MT48LC32M16A2-75, Micron Technology—SDRAM components. http://www.micron.com.

  7. Brigham, E. O. (1988). The fast fourier transform and its applications. Englewood Cliffs, NJ: Prentice-Hall.

    Google Scholar 

  8. McKee, S. A., et al. (1998). Smarter memory: Improving bandwidth for streamed references. Computer, 31(7), 54–63 (July).

    Article  Google Scholar 

  9. Parhami, B. (2000). Computer arithmetic. 198 Madison Avenue, New York 10016: Oxford University Press.

    Google Scholar 

  10. He, S., & Torkelson, M. (1998). Designing pipeline FFT processor for OFDM (de)modulation. In URSI international symposium on signals, systems, and electronics (pp. 257–262) (October).

  11. Lenart, T., & Öwall, V. (2006). Architectures for dynamic data scaling in 2/4/8K pipeline FFT cores. IEEE Trans. VLSI Syst., 14(11), 1286–1290 (November).

    Article  Google Scholar 

  12. Bidet, E., Joanblanq, C., & Senn, P. (1995). A fast single-chip implementation of 8192 complex point FFT. IEEE Journal of Solid-State Circuits, 30, 300–305 (March).

    Article  Google Scholar 

  13. Del Toso, C., et al. (1998). 0.5-μm CMOS circuits for demodulation and decoding of an OFDM-based digital TV signal conforming to the European DVB-T standard. IEEE Journal of Solid-State Circuits, 33(11), 1781–1792 (November).

    Article  Google Scholar 

  14. Wosnitza, M., Cavadini, M., Thaler, M., & Tröster, G. (1998). A high precision 1024-point FFT processor for 2D convolution. In 1998 IEEE international solid-state circuits conference. Digest of technical papers, ISSCC (pp. 118–119) (February).

    Google Scholar 

  15. Kristensen, F., Nilsson, P., & Olsson, A. (2004). Reduced transceiver-delay for OFDM systems. In Proc. of vehicular technology conference, VTC 2004 Spring, (Vol. 3, pp. 1242–1245) (May).

  16. Gaisler, J. (2002). A portable and fault-tolerant microprocessor based on the SPARC v8 architecture. In Proc. of dependable systems and networks (pp. 409–415) (June).

  17. ARM Ltd. (1999). AMBA Specification—Advanced microcontroller bus architecture. http://www.arm.com.

  18. Miyamoto, N., Karnan, L., Maruo, K., Kotani, K., & Ohmi1 T. (2003). A small-area high-performance 512-point 2-dimensional FFT single-chip processor. In Proc. of European solid-state circuits (ESSCIRC’03) (pp. 603–606) (September).

  19. Uzun, I., Amira, A., & Bensaali, F. (2003) A reconfigurable coprocessor for high-resolution image filtering in real time. In Proc. of the 10th IEEE international conference on electronics, circuits and systems (pp. 192–195) (December).

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Thomas Lenart.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Lenart, T., Gustafsson, M. & Öwall, V. A Hardware Acceleration Platform for Digital Holographic Imaging. J Sign Process Syst Sign Image Video Technol 52, 297–311 (2008). https://doi.org/10.1007/s11265-008-0161-2

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-008-0161-2

Keywords

Navigation