Skip to main content

Advertisement

Log in

Energy-performance Exploration of a CGA-based SDR Processor

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

Software-Defined Radio (SDR) provides the flexibility to enable cost-effective multi-mode terminals. However, the growing complexity of the new communication standards, which need to be executed with the reduced energy budget required by battery-powered devices, is still challenging architects. Although Coarse Grain Array (CGA) -based processors extended with domain specific instructions are considered strong candidates to undertake both the high-performance and low power, the lack of efficient methodologies to derive optimal instances of such an architecture paradigm is still a major limitation. In this paper, an extensive energy-performance exploration of a CGA-based SDR processor is presented. This approach targets sufficient relative accuracy on the optimization metrics, which assures meaningful comparisons between different instances, while the absolute accuracy is relaxed and traded off against simulation time. The balance between the different sources of architectural parallelism, such as data and instruction level parallelism is crucial in order to achieve the required performance at minimum energy cost. Accordingly, the proposed method is used to select the optimal DLP–ILP combination required to run the symbol-based baseband processing of a 100 Mbps+ WLAN (Wireless Local Area Network) receiver in a CGA-based processor. As a result, a 4 × 4 array with four ways SIMD (Single Instruction, Multiple Data) extensions is shown to be the optimal instance, providing minimum energy consumption and real-time processing guarantees.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8
Figure 9

Similar content being viewed by others

References

  1. Glossner, J., Moudgill, M., & lancu, D. (2004). The sandbridge SDR communications platform. SympoTIC '04, 24–26, Oct.

  2. Rabaey, J. (2000). Silicon platforms for the next generation wireless systems—What role does reconfigurable hardware play? FPL 2000, 277–285, Aug.

  3. SiliconHive, Philips Research, http://www.siliconhive.com. Accessed 2007.

  4. Van Berkel, K., Heindle, F., Meuwissen, P., Moeren, K., & Weiss, M. (2004). Vector Processing as an Enabler for Software-Defined Radio in Handsets from 3G+WLAN Onwards. SDR Technical Conference, 125–130, Nov.

  5. Texas Instruments “TMS320C6000 CPU and Instruction Set”, http://www.ti.com. Accessed 2007.

  6. Lin, Y., Lee, H., Who, M., Harel, Y., Mahlke, S., Mudge, T., et al. (2006). SODA: A Low Power Architecture For Software Radio. ISCA’06, 89–101, June.

  7. Novo, D., Moffat, W., Derudder, V., & Bougard, B. (2006). Mapping a multiple antenna SDM-OFDM receiver on the ADRES coarse-grained reconfigurable processor. SIPS’06, Athens, Greece, pp. 473–478, Nov.

  8. Jain, M. K., Balakrishnan, M., & Kumar, A. (2007). ASIP design methodologies: survey and issues. In Proceedings of 14th CSI/IEEE International Conference on VLSI Design, Bangalore, India, Jan.

  9. Goossens, G., Lanneer, D., Geurts, W., & Van Praet, J. (2006). Design of ASIPs in Multi-Processor SoCs using the Chess/Checkers Retargetable Tool Suite. International Symposium on System-on-Chip, Tampere, pp. 1–4.

  10. Gonzalez, R. E. (2000). Xtensa: A configurable and extensible processor. IEEE MICRO, 20(2), 60–70 Mar/Apr.

    Article  Google Scholar 

  11. Glökler, T., Hoffmann, A., & Meyr, H. (2003). Methodical low-power ASIP design space exploration. VLSI Signal Processing, 33(3), 229–246 March.

    Article  Google Scholar 

  12. Leijten, J., Burns, G., Huisken, J., Waterlander, E., & van Wel, A. (2003). AVISPA: A massively parallel reconfigurable accelerator. Proceedings of the International Symposium on System-on-Chip, Tampere, Finland, pp. 165–168, Nov.

  13. Glossner, J., Chirca, K., Schulte, M., Wang Haoran, Nasimzada, N., Har, D., et al. (2004). Sandblaster low power DSP [parallel DSP arithmetic microarchitecture]. Proceedings of the IEEE Custom Integrated Circuits Conference, 575–581.

  14. Balakrishnan, M., Kumar, A., Ienne, P., Gangwar, A., & Middha, B. (2002). A Trimaran based framework for exploring the design space of VLIW ASIPs with coarse grain functional units. Proceedings of the 15th International Symposium on System Synthesis, pp. 2–7, Oct.

  15. Burger, D., & Austin, T. M. (1997). The SimpleScalar tool set, version 2.0. ACM SIGARCH Computer Architecture News Archive, 25(3), 13–25 June.

    Article  Google Scholar 

  16. Brooks, D., Tiwari, V., & Martonosi, M. (2000). Wattch: a framework for architectural-level power analysis and optimizations. Proceedings of the 27th Annual International Symposium on Computer Architecture, New York, USA, pp. 83–94, May.

  17. Shivakumar, P., & Jouppi, N. P. (2001). Cacti 3.0: An integrated cache timing, power and area model. Technical Report 2001/2, Compaq Computer Corporation, August.

  18. Vijaykrishnan, N., Kandemir, M., Irwin, M. J., Kim, H. S., Ye, W. (2000). Energy-driven integrated hardware–software optimizations using SimplePower. Proceedings of the 27th Annual International Symposium on Computer Architecture, New York, USA, pp. 95–106.

  19. Mei, B., Vernalde, S., Verkest, D., De Man, H., & Lauwereins, R. (2003). ADRES: An architecture with tightly coupled VLIW processor and coarse grained reconfigurable matrix. FPL 2003, Lisbon, Portugal, pp. 61–70, Sept.

  20. Mei, B., Vernalde, S., Verkest, D., De Man, H., & Lauwereins, R. (2002). DRESC: A retargetable compiler for coarse-grained reconfigurable architectures. FPL’02, Montpellier, France, pp. 166–174, Sept.

  21. Rau, R. B. (1995). Iterative modulo scheduling. HP Lab, Tech Report: HPL-94-115.

  22. Van der Perre, L. et al. (2005). Broadband WLANs: Setting the limits for SDR platforms. In: WG5 Session of the WWRF15 Meeting, Paris, France, Sept.

  23. IEEE 802.11, http://grouper.ieee.org/groups/802/11/. Accessed 2007.

  24. Saghir, M. A. R., Chow, P., & Lee, C. G. (1994). Application-driven design of DSP architectures and compilers. Proceedings of the International Conference on Acoustics, Speech and Signal Processing, Adelaide, Australia, pp. 437–440 (II), Apr.

  25. Parssinen, A. (2006). Keynote talk: System design for multi-standard radios. Proceedings of the International Solid State Circuits Conference, San Francisco, USA, Feb.

  26. Schuster, T., Novo, D., et al. (2006). Subword-parallel VLIW architecture exploration for multimode software defined radio. Proceedings of the Workshop on Signal Processing Systems, Banff, Canada, Oct.

  27. MathWorks, http://www.mathworks.com/. Accessed 2007.

  28. Catalytic Inc, http://www.catalyticinc.com/. Accessed 2007.

  29. Laurent, J., Julien, N., Senn, E., & Martin, E. (2004). Functional level power analysis: An efficient approach for modeling the power consumption of complex processors. Proceedings of Design, Automation and Test in Europe Conference and Exposition, Paris, France, Feb. pp. 666–667 (I).

  30. Lambrechts, A., Raghavan, P., Jayapala, M., Catthoor, F., & Verkest, D. (2005). Energy-Aware Interconnect Exploration of Coarse-Grained Reconfigurable Processors. Proceedings of Workshop on Application Specific Processors, New York, USA, September.

Download references

Acknowledgements

The authors want to thank their colleagues in the SDR FLAI and ADRES projects for technical support.

This research has been carried out in the context of IMEC’s multimode multimedia program which is partly sponsored by Samsung and by the IWT Flanders.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to D. Novo.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Novo, D., Schuster, T., Bougard, B. et al. Energy-performance Exploration of a CGA-based SDR Processor. J Sign Process Syst Sign Image Video Technol 56, 273–284 (2009). https://doi.org/10.1007/s11265-008-0237-z

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-008-0237-z

Keywords

Navigation