Skip to main content

Advertisement

Log in

Comparing Three Clustering-based Scheduling Methods for Energy-Aware Rapid Design of MP2SoCs

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

In recent years, the Electronic Design Automation (EDA) community shifted spotlights from performance to energy efficiency. Consequently, energy consumption becomes a key criterion to take into consideration during Design Space Exploration (DSE). Finding a trade-off between energy consumption and performance early in the design flow in order to satisfy time-to-market is a design challenge of EDA tools. In this paper, we propose the Energy-aWAre Rapid Design of MP2SoC (EWARDS) framework. The EWARDS framework aims at exploring, at design time, the performance and energy capabilities of modern Massively Parallel Multi-Processors System-on-Chip (MP2SoC). The key contribution of the proposed framework is the implementation of an energy-aware scheduling process, named P R E E S M PE, that combines state-of-the-art power management techniques together with Clustering-based Scheduling. The scheduling process is integrated into a Model-Driven Engineering (MDE)-based DSE approach to optimize both performance and energy efficiency in MP2SoC. Moreover, EWARDS extends the Modeling and Analysis of Real-Time and Embedded systems (MARTE) profile with power aspects of MP2SoC systems providing a high-level design entry. To demonstrate the efficiency of the proposed approach, we conducted experiments using the H.263 codec and the FFT algorithm. The obtained results demonstrate that the energy-aware scheduling process can effectively save energy in MP2SoC systems. They also confirmed that our MDE-based approach accelerates the DSE process while generating energy-efficient design decisions.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8
Figure 9
Figure 10
Figure 11
Figure 12
Figure 13
Figure 14
Figure 15
Figure 16
Figure 17
Figure 18
Figure 19
Figure 20
Figure 21
Figure 22

Similar content being viewed by others

References

  1. (2009). Ieee standard for design and verification of low power integrated circuits. IEEE std 1801-2009 pp 1–218, 10.1109/IEEESTD.2009.4809845.

  2. Acceleo (2016). https://eclipse.org/acceleo/.

  3. Ahmad, I., & Kwok, Y.K. (1998). On exploiting task duplication in parallel program scheduling. IEEE Transactions on Parallel and Distributed Systems, 9(9), 872–892.

    Article  Google Scholar 

  4. Ammar, M., Baklouti, M., Pelcat, M., Desnos, K., & Abid, M. (2014). Marte to π sdf transformation for data-intensive applications analysis. In 2014 Conference on design and architectures for signal and image processing (DASIP) (pp. 1–8): IEEE.

  5. Ammar, M., Baklouti, M., Pelcat, M., Desnos, K., & Abid, M. (2016a). Automatic generation of s-lam descriptions from uml/marte for the dse of massively parallel embedded systems. In Software engineering, artificial intelligence, networking and parallel/distributed computing 2015 (pp. 195–211): Springer.

  6. Ammar, M., Baklouti, M., Pelcat, M., Desnos, K., & Abid, M. (2016b). On exploiting energy-aware scheduling algorithms for mde-based design space exploration of mp2soc. In 24th euromicro international conference on parallel, distributed, and network-based processing (PDP 2016) (pp. 643–650): IEEE.

  7. Arpinen, T., Salminen, E., Hämäläinen, T.D., & Hännikäinen, M. (2012). Marte profile extension for modeling dynamic power management of embedded systems. Journal of Systems Architecture, 58(5), 209–219.

    Article  Google Scholar 

  8. Atitallah, R.B., Piel, E., Niar, S., Marquet, P., & Dekeyser, J.L. (2007). Multilevel mpsoc simulation using an mde approach. In 2007 IEEE International, SOC Conference (pp. 197–200): IEEE.

  9. Baklouti, M., Marquet, P., Dekeyser, J.L., & Abid, M. (2015). Fpga-based many-core system-on-chip design. Microprocessors and Microsystems, 39(4), 302–312.

    Article  Google Scholar 

  10. Bansal, S., Kumar, P., & Singh, K. (2003). An improved duplication strategy for scheduling precedence constrained graphs in multiprocessor systems. IEEE Transactions on Parallel and Distributed Systems, 14(6), 533–544.

    Article  Google Scholar 

  11. Ben Abdallah, F., Trabelsi, C., Ben Atitallah, R., & Abed, M. (2014). Early power-aware design space exploration for embedded systems: Mpeg-2 case study. In 2014 international symposium on system-on-chip (soc) (pp. 1–8): IEEE.

  12. Ben Atitallah, R., Senn, E., Chillet, D., Lanoe, M., & Blouin, D. (2013). An efficient framework for power-aware design of heterogeneous mpsoc. IEEE Transactions on Industrial Informatics, 9(1), 487–501.

    Article  Google Scholar 

  13. Benini, L., Bogliolo, A., & De Micheli, G. (2000). A survey of design techniques for system-level dynamic power management. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 8(3), 299–316.

    Article  Google Scholar 

  14. Bilal, K., Fayyaz, A., Khan, S.U., & Usman, S. (2015). Power-aware resource allocation in computer clusters using dynamic threshold voltage scaling and dynamic voltage scaling: comparison and analysis. Cluster Computing, 18(2), 865–888.

    Article  Google Scholar 

  15. Bodin, B., Munier-Kordon, A., & De Dinechin, B.D. (2012). K-periodic schedules for evaluating the maximum throughput of a synchronous dataflow graph (pp. 152–159): IEEE.

  16. Bonfietti, A., Benini, L., Lombardi, M., & Milano, M. (2010). An efficient and complete approach for throughput-maximal sdf allocation and scheduling on multi-core platforms. In Proceedings of the conference on design, automation and test in Europe, European design and automation association (pp. 897– 902).

  17. Brooks, D., Tiwari, V., & Martonosi, M. (2000). Wattch: a framework for architectural-level power analysis and optimizations, vol 28. ACM.

  18. Buck, J.T., Ha, S., Lee, E.A., & Messerschmitt, D.G. (1994). Ptolemy: a framework for simulating and prototyping heterogeneous systems.

  19. Callou, GRdA, Maciel, P.R.M., de Andrade, E.C., Tavares, E.A.G., & et al. (2008). A coloured petri net based approach for estimating execution time and energy consumption in embedded systems. In Proceedings of the 21st annual symposium on integrated circuits and system design (pp. 134–139): ACM.

  20. Chen, H., Shirazi, B., & Marquis, J. (1993). Performance evaluation of a novel scheduling method: Linear clustering with task duplication. In Proceedings of the 2nd international conference on parallel and distributed systems (pp. 270–275).

  21. Chung, Y.C., & Ranka, S. (1992). Applications and performance analysis of a compile-time optimization approach for list scheduling algorithms on distributed memory multiprocessors. In Proceedings of supercomputing’92 (pp. 512–521): IEEE.

  22. Colin, J.Y., & Chrétienne, P. (1991). Cpm scheduling with small communication delays and task duplication. Operations Research, 39(4), 680–684.

    Article  MATH  Google Scholar 

  23. Cong, J., Fang, Z., Gill, M., & Reinman, G. (2015). Parade: A cycle-accurate full-system simulation platform for accelerator-rich architectural design and exploration. In Proceedings of the IEEE/ACM international conference on computer-aided design (pp. 380–387): IEEE press.

  24. Consortium, S., & et al. (2011). Scilab: The free software for numerical computation, Paris, Scilab Consortium.

  25. Corporation, T. (2011). Tile processor user architecture manual. release 2.4 edn.

  26. Darbha, S., & Agrawal, D.P. (1998). Optimal scheduling algorithm for distributed-memory machines. IEEE Transactions on Parallel and Distributed Systems, 9(1), 87–95.

    Article  Google Scholar 

  27. DeAntoni, J., & Mallet, F. (2012). Timesquare: Treat your models with logical time. In Objects, models, components, patterns (pp. 34–41): Springer.

  28. Dennard, R.H., Rideout, V., Bassous, E., & LeBlanc, A. (1974). Design of ion-implanted mosfet’s with very small physical dimensions. IEEE Journal of Solid-state Circuits, 9(5), 256–268.

    Article  Google Scholar 

  29. Desnos, K., Pelcat, M., Nezan, J.F., Bhattacharyya, S.S., & Aridhi, S. (2013). Pimm: Parameterized and interfaced dataflow meta-model for mpsocs runtime reconfiguration. In 2013 international conference on embedded computer systems: architectures, modeling, and simulation (SAMOS XIII) (pp. 41–48): IEEE.

  30. Desnos, K., Pelcat, M., Nezan, J.F., & Aridhi, S. (2016). On memory reuse between inputs and outputs of dataflow actors. ACM Transactions on Embedded Computing Systems (TECS), 15(2), 30.

    Article  Google Scholar 

  31. de Dinechin, B.D., Ayrignac, R., Beaucamps, P.E., Couvert, P., Ganne, B., de Massas, P.G., Jacquet, F., Jones, S., Chaisemartin, N.M., Riss, F., & et al (2013). A clustered manycore processor architecture for embedded and accelerated applications. In HPEC (pp. 1–6).

  32. Erbas, C. (2007). System-level modelling and design space exploration for multiprocessor embedded system-on-chip architectures, vol 132. Amsterdam university press.

  33. Esmaeilzadeh, H., Blem, E., Amant, R.S., Sankaralingam, K., & Burger, D. (2012). Dark silicon and the end of multicore scaling. IEEE Micro (3):122–134.

  34. Ghamarian, A.H., Geilen, M., Stuijk, S., Basten, T., Moonen, A., Bekooij, M.J., Theelen, B.D., & Mousavi, M. (2006). Throughput analysis of synchronous data flow graphs. In 2006 sixth international conference on application of concurrency to system design, 2006. ACSD (pp. 25–36): IEEE.

  35. Gomez C., Deantoni J., & Mallet F. (2012). Multi-view power modeling based on uml, marte and sysml. In 2012 38th EUROMICRO conference on Software engineering and advanced applications (SEAA) (pp. 17–20): IEEE.

  36. Goossens, S., Akesson, B., Koedam, M., Nejad, A.B., Nelson, A., & Goossens, K. (2013). The compsoc design flow for virtual execution platforms. In Proceedings of the 10th FPGAworld conference (p. 7): ACM.

  37. Graf, S., & Hooman, J. (2004). Correct development of embedded systems. In Software architecture (pp. 241–249). springer.

  38. Graf, S., Ober, I., & Ober, I. (2006). A real-time profile for uml. International Journal on Software Tools for Technology Transfer, 8(2), 113–127.

    Article  MATH  Google Scholar 

  39. Gray, I., & Audsley, N.C. (2012). Challenges in software development for multicore system-on-chip development. In 2012 23rd IEEE international symposium on Rapid system prototyping (RSP) (pp. 115–121): IEEE.

  40. Group, O.M. (2005). Uml profile, for schedulability, performance, and time. OMG document, http://www.omgorg/spec/SPTP/11.

  41. Group, O.M. (2006). Uml profile for system on a chip. OMG document, http://www.omgorg/spec/SoCP/10.

  42. Group, O.M. (2011a). Uml profile for marte: Modeling and analysis of real-time embedded systems. http://www.omg.org/spec/MARTE/1.1/PDF/.

  43. Group, O.M. (2011b). Uml profile for marte: Modeling and analysis of real-time embedded systems. OMG document, http://www.omgorg/spec/MARTE/10/PDF/.

  44. Group, O.M. (2015). Omg systems modeling language. OMG document, http://www.omgorg/spec/SysML/14/.

  45. Gruian, F., & Kuchcinski, K. (2001). Lenes: task scheduling for low-energy systems using variable supply voltage processors. In Proceedings of the 2001 Asia and South Pacific design automation conference (pp. 449–455): ACM.

  46. Grüttner, K., Hartmann, P.A., Hylla, K., Rosinger, S., Nebel, W., Herrera, F., Villar, E., Brandolese, C., Fornaciari, W., Palermo, G., & et al (2013). The complex reference framework for hw/sw co-design and power management supporting platform-based design-space exploration. Microprocessors and Microsystems, 37(8), 966–980.

    Article  Google Scholar 

  47. Guduric, P., Puder, A., & Todtenhofer, R. (2009). A comparison between relational and operational qvt mappings. In ITNG’09 sixth international conference on information technology: new generations, 2009 (pp. 266–271): IEEE.

  48. Guzek, M., Pecero, J.E., Dorronsoro, B., & Bouvry, P. (2014). Multi-objective evolutionary algorithms for energy-aware scheduling on distributed computing systems. Applied Soft Computing, 24, 432–446.

    Article  Google Scholar 

  49. Hagner, M., Aniculaesei, A., & Goltz, U. (2011). Uml-based analysis of power consumption for real-time embedded systems. In 2011 IEEE 10th international conference on Trust, security and privacy in computing and communications (trustcom) (pp. 1196–1201): IEEE.

  50. Holmbacka, S., Nogues, E., Pelcat, M., Lafond, S., Menard, D., & Lilius, J. (2015). Energy-awareness and performance management with parallel dataflow applications. Journal of Signal Processing Systems :1–16.

  51. Hwang, J.J., Chow, Y.C., Anger, F.D., & Lee, C.Y. (1989). Scheduling precedence graphs in systems with interprocessor communication times. SIAM Journal on Computing, 18(2), 244–257.

    Article  MathSciNet  MATH  Google Scholar 

  52. Initiative, S., & et al (2011). Common power format (cpf) 2.0 specification. silicon integration initiative (si2). Inc, http://www.si2org, 5, 19–68.

    Google Scholar 

  53. Jeffers, J., & Reinders, J. (2013). Intel Xeon Phi coprocessor high-performance programming. Newnes.

  54. Jia, Z.J., Bautista, T., Núñez, A., Pimentel, A.D., & Thompson, M. (2013). A system-level infrastructure for multidimensional mp-soc design space co-exploration. ACM Transactions on Embedded Computing Systems (TECS), 13(1s), 27.

    Article  Google Scholar 

  55. Kangas, T., Kukkala, P., Orsila, H., Salminen, E., Hännikäinen, M., Hämäläinen, T.D., Riihimäki, J., & Kuusilinna, K. (2006). Uml-based multiprocessor soc design framework. ACM Transactions on Embedded Computing Systems (TECS), 5(2), 281–320.

    Article  Google Scholar 

  56. Kempf, T., Ascheid, G., & Leupers, R. (2011). Multiprocessor Systems on Chip: Design Space Exploration. Springer Science & Business Media.

  57. Khan, S.U., & Min-Allah, N. (2012). A goal programming based energy efficient resource allocation in data centers. The Journal of Supercomputing, 61(3), 502–519.

    Article  Google Scholar 

  58. Khecharem, A., Gomez, C., DeAntoni, J., Mallet, F., & De Simone, R. (2014). Execution of heterogeneous models for thermal analysis with a multi-view approach. In 2014 forum on specification and design languages (FDL), (Vol. 978 pp. 1–8): IEEE.

  59. Kienhuis, B., Deprettere, E., Vissers, K., & van der Wolf, P. (1997). An approach for quantitative analysis of application-specific dataflow architectures. In 1997 Proceedings., IEEE international conference on application-specific systems, architectures and processors (pp. 338–349): IEEE.

  60. Kim, N.S., Austin, T., Baauw, D., Mudge, T., Flautner, K., Hu, J.S., Irwin, M.J., Kandemir, M., & Narayanan, V. (2003). Leakage current: Moore’s law meets static power. computer, 36(12), 68–75.

    Article  Google Scholar 

  61. Koomey, J.G., Berard, S., Sanchez, M., & Wong, H. (2011). Implications of historical trends in the electrical efficiency of computing. Annals of the History of Computing. IEEE, 33(3), 46–54.

    MathSciNet  Google Scholar 

  62. Kruatrachue, B., & Lewis, T. (1987). Duplication scheduling heuristics (dsh): A new precedence task scheduler for parallel processor systems Oregon State University, Corvallis, OR.

  63. Kruijtzer, W., Van der Wolf, P., De Kock, E., Stuyt, J., Ecker, W., Mayer, A., Hustin, S., Amerijckx, C., De Paoli, S., & Vaumorin, E. (2008). Industrial ip integration flows based on ip-xact standards. In Design, automation and test in europe, 2008. DATE’08 (pp. 32–37): IEEE.

  64. Kukkala, P., Riihimaki, J., Hannikainen, M., Hamalainen, T.D., & Kronlof, K. (2005). Uml 2.0 profile for embedded system design. In Proceedings of the conference on design, automation and test in europe-volume 2, IEEE computer society (pp. 710– 715).

  65. Kumar, A., Fernando, S., Ha, Y., Mesman, B., & Corporaal, H. (2008). Multiprocessor systems synthesis for multiple use-cases of multiple applications on fpga. ACM Transactions on Design Automation of Electronic Systems (TODAES), 13(3), 40.

    Article  Google Scholar 

  66. Kumar Rethinagiri, S., Palomar, O., Cristal, A., Unsal, O., & Swift, M.M. (2014). Dessert: Design space exploration tool based on power and energy at system-level. In 2014 27th IEEE international System-on-chip conference (SOCC) (pp. 48–53): IEEE.

  67. Künzli, S., Thiele, L., & Zitzler, E. (2005). Modular design space exploration framework for embedded systems. In Computers and digital techniques, IEE proceedings-, IET, (Vol. 152 pp. 183–192).

  68. Le Tallec, J.F., DeAntoni, J., De Simone, R., Ferrero, B., Mallet, F., & Maillet-contoz, L. (2011). Combining systemc, ip-xact and uml/marte in model-based soc design. In Workshop on model based engineering for embedded systems design (m-BED).

  69. Lee, E.A., & Messerschmitt, D.G. (1987). Synchronous data flow. Proceedings of the IEEE, 75(9), 1235–1245.

    Article  Google Scholar 

  70. Liu, W., Duan, Y., & Du, W. (2012). An energy efficient clustering-based scheduling algorithm for parallel tasks on homogeneous dvs-enabled clusters. In 2012 IEEE 16th international conference on Computer supported cooperative work in design (CSCWD) (pp. 575–582): IEEE.

  71. Liu, W., Du, W., Chen, J., Wang, W., & Zeng, G. (2014). Adaptive energy-efficient scheduling algorithm for parallel tasks on homogeneous clusters. Journal of Network and Computer Applications, 41, 101–113.

    Article  Google Scholar 

  72. Llopis, R.P., & Goossens, K. (1998). The petrol approach to high-level power estimation. In 1998. Proceedings. 1998 international symposium on low power electronics and design (pp. 130–132): IEEE.

  73. Lugato, D., Venelle, B., Ober, I., & Bruel, J.M. (2010). Model-Driven Engineering for High-Performance Computing Applications. INTECH Open Access Publisher.

  74. Manzak, A., & Chakrabarti, C. (2001). Variable voltage task scheduling algorithms for minimizing energy. In International symposium on low power electronics and design, 2001 (pp. 279–282): IEEE.

  75. Mischkalla, F., & Mueller, W. (2014). Architectural low-power design using transaction-based system modeling and simulation. In 2014 international conference on embedded computer systems: architectures, modeling, and simulation (SAMOS XIV) (pp. 258–265): IEEE.

  76. Moore, G.E. (2006). Cramming more components onto integrated circuits, reprinted from electronics, volume 38, number 8, april 19, 1965, pp. 114 ff. IEEE Solid-State Circuits Newsletter, 3(20), 33–35.

    Article  Google Scholar 

  77. Mudge, T. (2001). Power: a first-class architectural design constraint. Computer (4):52–58.

  78. Ochoa-Ruiz, G., Guillet, S., Lamotte, F.D., Rutten, E., Bourennane, E.B., Diguet, J.P., & Gogniat, G. (2015). An mde approach for rapid prototyping and implementation of dynamic reconfigurable systems. ACM Transactions on Design Automation of Electronic Systems (TODAES), 21(1), 8.

    Article  Google Scholar 

  79. Oliveira, M F d S, De Brisolara, L.B., Carro, L., & Wagner, F.R. (2006). Early embedded software design space exploration using uml-based estimation. In 2006. seventeenth ieee international workshop on rapid system prototyping (pp. 24–32). IEEE.

  80. Ouni, B., Belleudy, C., & Senn, E. (2012). Accurate energy characterization of os services in embedded systems. EURASIP Journal on Embedded Systems, 2012(1), 1–16.

    Article  Google Scholar 

  81. Papadimitriou, C.H., & Yannakakis, M. (1990). Towards an architecture-independent analysis of parallel algorithms. SIAM journal on computing, 19(2), 322–328.

    Article  MathSciNet  MATH  Google Scholar 

  82. Papyrus (2016). http://www.eclipse.org/papyrus/.

  83. Pelcat, M., Nezan, J.F., Piat, J., Croizer, J., & Aridhi, S. (2009a). A system-level architecture model for rapid prototyping of heterogeneous multicore embedded systems. In Conference on design and architectures for signal and image processing (DASIP) 2009 (pp. 8–pages).

  84. Pelcat, M., Piat, J., Wipliez, M., Aridhi, S., & Nezan, J.F. (2009b). An open framework for rapid prototyping of signal processing applications. EURASIP journal on embedded systems, 2009(1), 1–13.

    Article  Google Scholar 

  85. Pelcat, M., Desnos, K., Heulot, J., Guy, C., Nezan, J.F., & Aridhi, S. (2014). Preesm: a dataflow-based rapid prototyping framework for simplifying multicore dsp programming. In 2014 6th european embedded design in education and research conference (EDERC) (pp. 36–40): IEEE.

  86. Posadas, H., Nicolás, A., Peñil, P., Villar, E., Broekaert, F., Bourdelles, M., Cohen, A., Lazarescu, M.T., Lavagno, L., Terechko, A., & et al (2014). Improving the design flow for parallel and heterogeneous architectures running real-time applications: The pharaon fp7 project. Microprocessors and Microsystems, 38(8), 960–975.

    Article  Google Scholar 

  87. Power, D. (2013). Aceplorer.

  88. Project, T.S.I. (2016). http://www.spices-itea.org/.

  89. Ranaweera, S., & Agrawal, D.P. (2000). A task duplication based scheduling algorithm for heterogeneous systems. In 14th international parallel and distributed processing symposium, 2000. IPDPS 2000. Proceedings (pp. 445–450): IEEE.

  90. Research, P. (2001). Diesel user manual. Philips electronic design and tools group.

  91. Rethinagiri, S.K., Palomar, O., Unsal, O., Cristal, A., Ben-Atitallah, R., & Niar, S. (2014). Pets: power and energy estimation tool at system-level. In 2014 15th international symposium on Quality electronic design (ISQED) (pp. 535–542): IEEE.

  92. Reyes, V. (2008). Methods and tools for the design of multimedia mpsoc platforms at system level. Spain: Ph.d thesis, University of Las Palmas de Gran Canaria.

    Google Scholar 

  93. Reyes, V., Kruijtzer, W., Bautista, T., Alkadi, G., & Núñez, A. (2006). A unified system-level modeling and simulation environment for mpsoc design: Mpeg-4 decoder case study. In Proceedings of the conference on design, automation and test in europe: Proceedings, european design and automation association (pp. 474–479).

  94. Riccobene, E., Scandurra, P., Rosti, A., & Bocchio, S. (2005). A soc design methodology involving a uml 2.0 profile for systemc. In Design, automation and test in europe, 2005. Proceedings (pp. 704–709): IEEE.

  95. Sangiovanni-Vincentelli, A., & Martin, G. (2001). Platform-based design and software design methodology for embedded systems. IEEE Design & Test of Computers (6):23–33.

  96. Schattkowsky, T., & Xie, T. (2008). Uml and ip-xact for integrated sprint ip management. UML-SOC’08.

  97. Senn, E., Douhib, S., Blouin, D., Laurent, J., Turki, S., & Diguet, J.P. (2009). Power and energy estimations in model-based design. In Languages for embedded systems and their applications (pp. 3–26): springer.

  98. Sharifi, M., Shahrivari, S., & Salimi, H. (2013). Pasta: a power-aware solution to scheduling of precedence-constrained tasks on heterogeneous computing resources. Computing, 95(1), 67–88.

    Article  Google Scholar 

  99. Shorin, D., & Zimmermann, A. (2014a). Extending the software tool timenet by power consumption estimation of uml marte models. In 2014 international conference on Simulation and modeling methodologies, technologies and applications (SIMULTECH) (pp. 83–91): IEEE.

  100. Shorin, D., & Zimmermann, A. (2014b). Formal description of an approach for power consumption estimation of embedded systems. In 2014 24th international workshop on Power and timing modeling, optimization and simulation (PATMOS) (pp. 1–10): IEEE.

  101. Shorin, D., Zimmermann, A., & Maciel, P. (2012). Transforming uml state machines into stochastic petri nets for energy consumption estimation of embedded systems. In Sustainable internet and ICT for sustainability (sustainIT), 2012 (pp. 1–6): IEEE.

  102. Singh, A.K., Das, A., & Kumar, A. (2013a). Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems. In Proceedings of the 50th annual design automation conference (p. 115): ACM.

  103. Singh, A.K., Kumar, A., & Srikanthan, T. (2013b). Accelerating throughput-aware runtime mapping for heterogeneous mpsocs. ACM Transactions on Design Automation of Electronic Systems (TODAES), 18(1), 9.

    Google Scholar 

  104. Stuijk, S., Geilen, M., & Basten, T. (2006). Sdf ̂ 3: Sdf for free. In null (pp. 276–278): IEEE.

  105. Stuijk, S., Geilen, M., & Basten, T. (2010). A predictable multiprocessor design flow for streaming applications with dynamic behaviour. In 2010 13th euromicro conference on Digital system design: architectures, methods and tools (DSD) (pp. 548–555): IEEE.

  106. Stulova, A., Leupers, R., & Ascheid, G. (2012). Throughput driven transformations of synchronous data flows for mapping to heterogeneous mpsocs. In 2012 international conference on embedded computer systems (SAMOS) (pp. 144–151): IEEE.

  107. Taylor, M.B. (2012). Is dark silicon useful?: harnessing the four horsemen of the coming dark silicon apocalypse. In Proceedings of the 49th annual design automation conference (pp. 1131–1136): ACM.

  108. Theelen, B.D. (2004). Performance modelling for system-level design. Citeseer.

  109. simulation tool S (2016). http://storm.rts-software.org.

  110. Trabelsi, C., Atitallah, R.B., Meftali, S., Dekeyser, J.L., & Jemai, A. (2011). A model-driven approach for hybrid power estimation in embedded systems design. EURASIP Journal on Embedded Systems, 2011(1), 1–15.

    Article  Google Scholar 

  111. Valentini, G.L., Lassonde, W., Khan, S.U., Min-Allah, N., Madani, S.A., Li, J., Zhang, L., Wang, L., Ghani, N., Kolodziej, J., & et al (2013). An overview of energy efficiency techniques in cluster computing systems. Cluster Computing, 16(1), 3–15.

    Article  Google Scholar 

  112. Wang, L., Khan, S.U., Chen, D., Kołodziej, J., Ranjan, R., Xu, C.Z., & Zomaya, A. (2013). Energy-aware parallel task scheduling in a cluster. Future Generation Computer Systems, 29(7), 1661–1670.

    Article  Google Scholar 

  113. Wei, G.Y., Kim, J., Liu, D., Sidiropoulos, S., & Horowitz, M.A. (2000). A variable-frequency parallel i/o interface with adaptive power-supply regulation. IEEE Journal of Solid-state Circuits, 35(11), 1600–1610.

    Article  Google Scholar 

  114. van der Wolf, P., de Kock, E., Henriksson, T., Kruijtzer, W., & Essink, G. (2004). Design and programming of embedded multiprocessors: an interface-centric approach. In Proceedings of the 2nd IEEE/ACM/IFIP international conference on hardware/software codesign and system synthesis (pp. 206–217): ACM.

  115. Wu, F., Wu, Q., & Tan, Y. (2015). Workflow scheduling in cloud: a survey. The Journal of Supercomputing, 71(9), 3373–3418.

    Article  Google Scholar 

  116. Yang, T., & Gerasoulis, A. (1991). A fast static scheduling algorithm for dags on an unbounded number of processors. In Proceedings of the 1991 ACM/IEEE conference on Supercomputing (pp. 633–642): ACM.

  117. Yang, T., & Gerasoulis, A. (1994). Dsc: Scheduling parallel tasks on an unbounded number of processors. IEEE Transactions on Parallel and Distributed Systems, 5(9), 951–967.

    Article  Google Scholar 

  118. Yao, F., Demers, A., & Shenker, S. (1995). A scheduling model for reduced cpu energy. In Proceedings., 36th annual symposium on foundations of computer science, 1995 (pp. 374–382): IEEE.

  119. Ye, W., Vijaykrishnan, N., Kandemir, M., & Irwin, M.J. (2000). The design and use of simplepower: a cycle-accurate energy estimation tool. In Proceedings of the 37th annual design automation conference (pp. 340–345): ACM.

  120. Zhu, D., Melhem, R., & Childers, B.R. (2003). Scheduling with dynamic voltage/speed adjustment using slack reclamation in multiprocessor real-time systems. IEEE Transactions on Parallel and Distributed Systems, 14 (7), 686–700.

    Article  Google Scholar 

  121. Zong, Z., Manzanares, A., Ruan, X., & Qin, X. (2011). Ead and pebd: two energy-aware duplication scheduling algorithms for parallel tasks on homogeneous clusters. IEEE Transactions on Computers, 60(3), 360–374.

    Article  MathSciNet  MATH  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Manel Ammar.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Ammar, M., Baklouti, M., Pelcat, M. et al. Comparing Three Clustering-based Scheduling Methods for Energy-Aware Rapid Design of MP2SoCs. J Sign Process Syst 90, 537–570 (2018). https://doi.org/10.1007/s11265-017-1261-7

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-017-1261-7

Keywords

Navigation