Skip to main content

Advertisement

Log in

High Performance Process Variations Aware Technique for Sub-threshold 8T-SRAM Cell

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

The demand of low power high density integrated circuits is increasing in modern battery operated portable systems. Sub-threshold region of MOS transistors is the most desirable region for energy efficient circuit design. The operating ultra-low power supply voltage is the key design constraint with accurate output performance in sub-threshold region. Degrading of the performance metrics in Static random access memory (SRAM) cell with process variation effects are of major concern in sub-threshold region. In this paper, a bootstrapped driver circuit and a bootstrapped driver dynamic body biasing technique is proposed to assist write operation which improves the write-ability of sub-threshold 8T-SRAM cell under process variations. The bootstrapped driver circuit minimizes the write delay of SRAM cell. The bootstrapped driver dynamic body bias increases the output voltage levels by boosting factor therefore increasing in switching threshold voltage of MOS devices during hold and read operation of SRAM latch. The increment in threshold voltage improves the static noise margin and minimizing the process variation effects. Monte-Carlo simulation results with 3\(\sigma \) Gaussian distributions show the improvements in write delay by 11.25 %, read SNM by 12.20 % and write SNM by 12.57 % in 8T-SRAM cell under process variations at 32 nm bulk CMOS process technology node.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Sharma, V. K., & Pattanaik, M. (2013). VLSI scaling methods and low power CMOS buffer circuit. Journal of Semiconductors, 34, 095001.

    Article  Google Scholar 

  2. Sharma, V. K., Pattanaik, M., & Raj, B. (2014). ONOFIC approach: Low power high speed nanoscale VLSI circuits design. International Journal of Electronics, 101, 61–73.

    Article  Google Scholar 

  3. Sharma, V. K., Pattanaik, M., & Raj, B. (2014). PVT variations aware low leakage INDEP approach for nanoscale CMOS circuits. Microelectronics Reliability, 54, 90–99.

    Article  Google Scholar 

  4. Seevinck, E., List, F., & Lohstroh, J. (1987). Static-noise margin analysis of MOS SRAM cells. IEEE Journal of Solid-State Circuits, 22, 748–754.

    Article  Google Scholar 

  5. Zhai, B., Hanson, S., Blaauw, D., & Sylvester, D. (2008). A variation-tolerant sub-200 mv 6T subthreshold SRAM. IEEE Journal of Solid-State Circuits, 43, 2338–2348.

    Article  Google Scholar 

  6. Chang, L., Montoye, R., Nakamura, Y., Batson, K., Eickemeyer, R., Dennard, R., et al. (2008). An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE Journal of Solid-State Circuits, 43, 956–963.

    Article  Google Scholar 

  7. Kim, T. H., Liu, J., Keane, J., & Kim, C. (2008). A 0.2 V, 480 kb subthreshold SRAM with 1Kcells per bitline for ultra-low-voltage computing. IEEE Journal of Solid-State Circuits, 43, 518–529.

    Article  Google Scholar 

  8. Chang, I. J., Kim, J. J., Park, S. P., & Roy, K. (2009). A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE Journal of Solid-State Circuits, 44, 650–658.

    Article  Google Scholar 

  9. Kulkarni, J., Kim, K., & Roy, K. (2007). A 160 mV robust schmitt trigger based subthreshold sram. IEEE Journal of Solid-State Circuits, 42, 2303–2313.

    Article  Google Scholar 

  10. Kulkarni, J., Kim, K., Park, S. P., & Roy, K. (2008). Process variation tolerant SRAM array for ultra low voltage applications. In Proceedings of the 45th ACM/IEEE annual design automation conference DAC (pp. 108–113)

  11. Tschanz, J., Kao, J., Narendra, S., Nair, R., Antoniadis, D., Chandrakasan, A., et al. (2002). Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE Journal of Solid-State Circuits, 37, 1396–1402.

    Article  Google Scholar 

  12. Mukhopadhyay, S., Kang, K., Mahmoodi, H., & Roy, K. (2005). Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring. In Proceedings of the IEEE test conference ITC (p. 1135)

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vijay Kumar Sharma.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Sharma, V.K., Patel, S. & Pattanaik, M. High Performance Process Variations Aware Technique for Sub-threshold 8T-SRAM Cell. Wireless Pers Commun 78, 57–68 (2014). https://doi.org/10.1007/s11277-014-1735-x

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-014-1735-x

Keywords

Navigation