Skip to main content
Log in

More Precise FPGA Power Estimation and Validation Tool (FPEV_Tool) for Low Power Applications

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

This paper provides a significant approach for designing the more accurate power estimation and validation models over the existing power estimation models given in the literature. It is well established that one of the existing power estimation models is not able to accurately estimate the power of the designs incorporated with low power techniques like clock enable. In this paper, an improvement over the existing power estimation model has been suggested termed as FPEV_Tool. This tool is accurately estimating the power of both types of digital circuits i.e. designs with clock enable and without clock enable specifically, with an average error of approximately 3% and peak error of 17%, respectively. The accuracy of the proposed tool is validated using Xpower Analyzer available for power analysis in Xilinx ISE and existing model given in the literature by Deng et al. This tool helps researchers to validate and compare their results with the results of existing models and commercial tools available in the market. This tool also provides a new move toward the power estimation and validation to the researchers those are working in the field of low power digital circuit design.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2

Similar content being viewed by others

References

  1. Deng, L., Sobti, K., & Chakrabarti, C. (2011). Accurate models for estimating area and power of FPGA implementations. In Proceedings of IEEE international conference on acoustics speech and signal processing (pp. 1417–1420).

  2. Verma, G., Kumar, M., & Khare, V. (2017). Low power synthesis & validation of an embedded multiplier for FPGA based wireless communication systems. Wireless Personal Communication, 95(2), 365–373.

    Article  Google Scholar 

  3. Najm, F. N., & Xakellis, M. G. (1998). Statistical estimation of the switching activity in VLSI circuits. VLSI Design, 7(3), 243–254.

    Article  Google Scholar 

  4. Choy, N. C. K., & Wilton, S. J. E. (2006). Activity-based power estimation and characterization of DSP and multiplier blocks in FPGAs. In Proceedings of FPT (pp. 253–256).

  5. Burch, R., Najm, F. N., Yang, P., & Trick, T. N. (1993). A Monte Carlo approach for power estimation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1(1), 63–71.

    Article  Google Scholar 

  6. Todorovich, E., Boemo, E., Angarita F., & Vails, J. (2005). Statistical power estimation for FPGAs. In Proceedings of the international conference field programmable logic and applications (pp. 515–518).

  7. Anderson, J. H., & Najm, F. N. (2004). Power estimation techniques for FPGAs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12(10), 1015–1027.

    Article  Google Scholar 

  8. Lamoureux, J., & Wilton, S. J. E. (2006). Activity estimation for field-programmable gate arrays. In Proceedings of the international conference field programmable logic and applications (FPL) (pp. 1–8).

  9. Lorandel, J., Prevotet, J. C., & Helard, M. (2016). Fast power and performance evaluation of FPGA-based wireless communication systems. IEEE Access, 4, 2005–2018.

    Article  Google Scholar 

  10. Durrani, Y. A., & Alcaide, T. R. (2014). High-level power analysis for intellectual property-based digital systems. Circuits, Systems, and Signal Processing, 33(4), 1035–1051.

    Article  Google Scholar 

  11. Liu, X., & Papaefthymiou, M. C. (2005). HyPE: Hybrid power estimation for IP-based systems-on-chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 24(7), 1089–1103.

    Article  Google Scholar 

  12. Reimer, A., Schulz, A., & Nebel, W. (2006). Modelling macro-modules for high-level dynamic power estimation of FPGA-based digital designs. In Proceedings of the 2006 international symposium on low power electronics and design (pp. 151–154).

  13. Najoua, C., Mohamed, B., & Hedi, B. M. (2012). Analytical dynamic power model for LUT based components. In Proceedings of the 7th international conference on design & technology of integrated systems in nanoscale era (DTIS) (pp. 1–6).

  14. Elleouet, D., Julien, N., Houzet, D., Cousin, J. G., & Martin, E. (2004). Power consumption characterization and modeling of embedded memories in Xilinx Virtex 400E FPGA. In Proceedings of the EUROMICRO systems on digital system design (pp. 394–401).

  15. Amira, A., & Chandrasekaran, S. (2007). Power modeling and efficient FPGA implementation of FHT for signal processing. IEEE Transactions on VLSI Systems, 15(3), 286–297.

    Article  Google Scholar 

  16. Verma, G., Kumar, M., Khare, V., & Pandey, B. (2017). Analysis of low power consumption techniques on FPGA for wireless devices. Wireless Personal Communication, 95(2), 353–364.

    Article  Google Scholar 

  17. Jevtic, R., & Carreras, C. (2010). Power estimation of embedded multiplier blocks in FPGAs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18(5), 835–839.

    Article  Google Scholar 

  18. Xilinx, San Jose, CA, USA. (2012). XPower Estimator User Guide.

  19. Altera, San Jose, CA, USA. (2014). Power Play Early Power Estimator User Guide.

  20. Verma, G., Dabas, C., Goel, A., Kumar, M., & Khare, V. (2017). Clustering based power optimization of digital circuits for FPGAs. Journal of Information and Optimization Sciences, 38(6), 1029–1037.

    Article  MathSciNet  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Gaurav Verma.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

The Power Estimation Model and Power Estimation Tool refers to the same meaning throughout the paper.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Verma, G., Khare, V. & Kumar, M. More Precise FPGA Power Estimation and Validation Tool (FPEV_Tool) for Low Power Applications. Wireless Pers Commun 106, 2237–2246 (2019). https://doi.org/10.1007/s11277-018-5938-4

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-018-5938-4

Keywords

Navigation