Skip to main content
Log in

LDML: A Proposal to Reduce Leakage Power in DML Circuits

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

This paper puts forward a proposal to reduce leakage power in dual mode logic (DML) circuits by adapting LECTOR (LEakage Control TransistOR) technique and the circuits so obtained are referred to as L-DML circuits. The concept is elucidated through footed Type A and Type B DML based gates which are called LDML-TA and LDML-TB. The leakage power for two and four input NAND and NOR gates implemented through DML and LDML circuits is measured in static and dynamic mode through simulative investigations at 90 nm and 45 nm nodes using the Symica DE tool. The performance of the proposal is investigated using standard and high threshold transistor variants in LECTOR technique. The dependence of leakage power on temperature is also studied for both DML and LDML circuits. It is observed that the leakage power of the circuits exhibits an upward trend with lowering of technology node and increase in temperature irrespective of the mode of operation. In static mode, the maximum power saving for LDML-TA is 58.9% at 27 °C whereas the corresponding saving in LDML-TB is 66.6% for 2-input design. Similarly, the LDML-TA and LDML-TB show power saving of (28.5%, 58.9%) and (32.5%, 66.6%) in (pre-charge, evaluate) states respectively in dynamic mode.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

Data Availability

The authors confirm that the data supporting the findings of this study are available within the article, its supplementary materials or mentioned references in the article itself.

References

  1. Zhang, L. (2014). Silicon process and manufacturing technology evolution: An overview of advancements in chip making. IEEE Consumer Electronics Magazine, 3(3), 44–48. https://doi.org/10.1109/MCE.2014.2317896

    Article  Google Scholar 

  2. Xiu, L. (2019). Time Moore: Exploiting Moore’s law from the perspective of time. IEEE Solid State Circuits Magazine, 11(1), 39–55. https://doi.org/10.1109/MSSC.2018.2882285

    Article  Google Scholar 

  3. Pedram, M., & Rabaey, J. (2002). Power aware design methodologies. Kluwer Academic Publishers. https://doi.org/10.1007/b101914

    Book  Google Scholar 

  4. Rabaey, J. (2009). Low power design essentials. Berlin: Springer. https://doi.org/10.1007/978-0-387-71713-5

    Book  Google Scholar 

  5. Wei, L., Chen, Z., Roy, K., Johnson, M. C., Ye, Y., & De, V. K. (1999). Design and optimization of dual-threshold circuits for low-voltage low-power applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 7(1), 16–24. https://doi.org/10.1109/92.748196

    Article  Google Scholar 

  6. Wei, L., Chen, Z., Roy, K., Ye, Y., & De, V. (1999). Mixed-Vth (MVT) CMOS circuit design methodology for low power applications. In Proceedings of the 36th annual ACM/IEEE design automation conference, New Orleans, LA, USA (pp. 430–435). https://doi.org/10.1109/DAC.1999.781355

  7. Park, J. C., & Mooney, V. J., III. (2006). Sleepy stack leakage reduction. IEEE Transactions on Very Large Scale Integration (VLSI) systems, 14(11), 1250–1263. https://doi.org/10.1109/TVLSI.2006.886398

    Article  Google Scholar 

  8. Islam, M. S., Nasrin, M. S., Mansur, N., & Tasneem, N. (2010). Dual stack method: A novel approach to low leakage and speed power product VLSI design. In International conference on electrical & computer engineering (ICECE 2010) (pp. 89–92). IEEE, Dhaka, Bangladesh. https://doi.org/10.1109/ICELCE.2010.5700560

  9. Lakshmikanthan, P., & Nunez, A. (2007). VCLEARIT: A VLSI CMOS circuit leakage reduction technique for nanoscale technologies. ACM Sigarch Computer Architecture News, 35(5), 10–16. https://doi.org/10.1145/1360464.1360471

    Article  Google Scholar 

  10. Anjana, R., & Somkuwar, A. (2014). FELERION: A new approach for leakage power reduction. Journal of Semiconductors, 35(12), 1–5. https://doi.org/10.1088/1674-4926/35/12/125001

    Article  Google Scholar 

  11. Hanchate, N., & Ranganathan, N. (2004). LECTOR: A technique for leakage reduction in CMOS circuits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12(2), 196–205. https://doi.org/10.1109/TVLSI.2003.821547

    Article  Google Scholar 

  12. Gupta, T. K., & Khare, K. (2013). LECTOR with footed-diode inverter: A technique for leakage reduction in domino circuits. Circuits, Systems and Signal Processing, 32(6), 2707–2722. https://doi.org/10.1007/s00034-013-9615-2

    Article  Google Scholar 

  13. Katrue, S., & Kudithipudi, D. (2008). GALEOR: Leakage reduction for CMOS circuits. In 15th IEEE international conference on electronics, circuits and systems (pp. 574–577), IEEE, St. Julien’s. https://doi.org/10.1109/ICECS.2008.4674918

  14. Sharma, V. K., Pattanaik, M., & Raj, B. (2014). ONOFIC approach: Low power high speed nanoscale VLSI circuits design. International Journal of Electronics, 101(1), 61–73. https://doi.org/10.1080/00207217.2013.769186

    Article  Google Scholar 

  15. Sharma, V. K., Pattanaik, M., & Raj, B. (2015). INDEP approach for leakage reduction in nanoscale CMOS circuits. International Journal of Electronics, 102(2), 200–215. https://doi.org/10.1080/00207217.2014.896042

    Article  Google Scholar 

  16. Bajpai, P., Pandey, N., Gupta, K., Panda, J., Bajpai, P., et al. (2017). On improving the performance of dynamic DCVSL circuits. Journal of Electrical and Computer Engineering, 2017, 1–11. https://doi.org/10.1155/2017/8207104

    Article  Google Scholar 

  17. Bajpai, P., Pandey, N., Gupta, K., & Panda, J. (2019). LECTOR incorporated Differential Cascode Voltage Swing Logic (L-DCVSL). Analog Integrated Circuits and Signal Processing, 100(1), 221–234. https://doi.org/10.1007/s10470-019-01466-2

    Article  Google Scholar 

  18. Lorenzo, R., & Chaudhury, S. (2017). Review of circuit level leakage minimization techniques in CMOS VLSI circuits. IETE Technical Review, 34(2), 165–187. https://doi.org/10.1080/02564602.2016.1162116

    Article  Google Scholar 

  19. Kaizerman, A., Fisher, S., & Fish, A. (2013). Subthreshold dual mode logic. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21(5), 979–983. https://doi.org/10.1109/TVLSI.2012.2198678

    Article  Google Scholar 

  20. Levi, I., & Fish, A. (2013). Dual mode logic—Design for energy efficiency and high performance. IEEE Access, 1, 258–265. https://doi.org/10.1109/ACCESS.2013.2262015

    Article  Google Scholar 

  21. Levi, I., Kaizerman, A., & Fish, A. (2013). Low voltage dual mode logic: Model analysis and parameter extraction. Microelectronics journal, 44(6), 553–560. https://doi.org/10.1016/j.mejo.2013.03.005

    Article  Google Scholar 

  22. Yuzhaninov, V., Levi, I., & Fish, A. (2016). Design flow and characterization methodology for dual mode logic. IEEE Access, 3, 3089–3101. https://doi.org/10.1109/ACCESS.2016.2514398

    Article  Google Scholar 

  23. Bikki, P. (2019). Analysis of high-performance near-threshold dual mode logic design. International Journal of Electronics and Telecommunications, 65(4), 723–729.

    Google Scholar 

  24. Moyal, L., Levi, I., Teman, A., & Fish, A. (2016). Synthesis of dual mode logic. Integration, the VLSI Journal, 55, 246–253. https://doi.org/10.1016/j.vlsi.2016.07.004

    Article  Google Scholar 

  25. Levi, I., Bass, O., Kaizerman, A., Belenky, A., & Fish, A. (2012). High speed dual mode logic carry look ahead adder. In 2012 ieee international symposium on circuits and systems (ISCAS) (pp. 3037–3040). IEEE, Seoul, South Korea. https://doi.org/10.1109/ISCAS.2012.6271959

  26. Rose, R. D., Romero, P., & Lanuzza, M. (2019). Double-precision dual mode logic carry-save multiplier. Integration, the VLSI Jouirnal, 64, 71–77. https://doi.org/10.1016/j.vlsi.2018.08.003

    Article  Google Scholar 

  27. Levi, I., Belenky, A., & Fish, A. (2013). Logical effort for CMOS-based dual mode logic gates. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(5), 1042–1053. https://doi.org/10.1109/TVLSI.2013.2257902

    Article  Google Scholar 

  28. Taco, R., Levi, I., Lanuzza, M., & Fish, A. (2017). Evaluation of dual mode logic in 28 nm FD-SOI technology. In 2017 IEEE international symposium on circuits and systems (ISCAS) (pp. 1–4). IEEE, MD, USA. https://doi.org/10.1109/ISCAS.2017.8050998

  29. Taco, R., Levi, I., Lanuzza, M., & Fish, A. (2017). Energy-delay tradeoffs of low-voltage dual mode logic in 28nm FD-SOI. In 2017 IEEE SOI-3D-subthreshold microelectronics technology unified conference (S3S) (pp. 1–3). IEEE, CA, USA. https://doi.org/10.1109/S3S.2017.8309250

  30. Taco, R., Levi, I., Lanuzza, M., & Fish, A. (2019). Live demo: An 88fJ/40 MHz [0.4 V]–0.61 pj/1GHz [0.9 V] dual mode logic 8× 8-bit multiplier accumulator with a self-adjustment mechanism in 28 nm FD-SOI. In 2019 IEEE international symposium on circuits and systems (ISCAS) (p. 1). IEEE, Sapporo, Japan. https://doi.org/10.1109/ISCAS.2019.8702170

  31. Jose, C. E., & Kousalya, B. (2015). Power reduction in CMOS sub-threshold dual mode logic circuits by power gating. IOSR Journal of VLSI and Signal Processing, 5(2), 60–67.

    Google Scholar 

  32. Lakshmisree, P. V., & Raghu, M. C. (2014). Design of subthreshold DML logic gates with power gating techniques. International Journal of Research in Engineering and Technology, 3(4), 174–180. https://doi.org/10.15623/ijret.2014.0304032

    Article  Google Scholar 

  33. Singh, S. N., & Madhu, R. (2015). Power analysis for CMOS based dual mode logic gates using power gating techniques. International Journal of Science, Engineering and Technology Research, 4(12), 4067–4072.

    Google Scholar 

  34. Patil, S. S., Pathak, S. S., Kathar, R. R., & Patil, D. S. (2017). Low power based dual mode logic gates using power gating technique. International Research Journal of Engineering and Technology, 4(5), 1462–1467.

    Google Scholar 

  35. Bikki, P., & Karuppanan, P. (2016). Low power and high performance multi-Vth dual mode logic design. In 11th international conference on industrial and information systems, Roorkee, India (pp. 463–468). https://doi.org/10.1109/ICIINFS.2016.8262985

Download references

Funding

The authors declare that no funds, grants, or other support were received during the preparation of this manuscript.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Deva Nand.

Ethics declarations

Conflict of interest

The authors declare that they have no known competing financial interests or personal relationships that could have appeared to influence the work reported in this paper.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Yadav, N., Pandey, N. & Nand, D. LDML: A Proposal to Reduce Leakage Power in DML Circuits. Wireless Pers Commun 129, 1009–1024 (2023). https://doi.org/10.1007/s11277-023-10170-4

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-023-10170-4

Keywords

Navigation