Skip to main content

Advertisement

Log in

Leakage Current Optimization Techniques During Test Based on Don’t Care Bits Assignment

  • Regular Paper
  • Published:
Journal of Computer Science and Technology Aims and scope Submit manuscript

Abstract

It is a well-known fact that test power consumption may exceed that during functional operation. Leakage power dissipation caused by leakage current in Complementary Metal-Oxide-Semiconductor (CMOS) circuits during test has become a significant part of the total power dissipation. Hence, it is important to reduce leakage power to prolong battery life in portable systems which employ periodic self-test, to increase test reliability and to reduce test cost. This paper analyzes leakage current and presents a kind of leakage current simulator based on the transistor stacking effect. Using it, we propose techniques based on don't care bits (denoted by Xs) in test vectors to optimize leakage current in integrated circuit (IC) test by genetic algorithm. The techniques identify a set of don't care inputs in given test vectors and reassign specified logic values to the X inputs by the genetic algorithm to get minimum leakage vector (MLV). Experimental results indicate that the techniques can effectually optimize leakage current of combinational circuits and sequential circuits during test while maintaining high fault coverage.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Zorian Y. A distributed BIST control scheme for complex VLSI devices. In Proc. IEEE VLSI Test Symposium, Atlantic City, USA, IEEE Computer Society, 1993, pp.4–9.

  2. Sinanoglu O, Orailoglu A. Scan power minimization through stimulus and response transformations. In Proc. IEEE/ACM Design, Automation and Test in Europe Conference, Paris, France, February 16–20, 2004, pp.404–409.

  3. Zhang X D, Roy K. Peak power reduction in low power BIST. In Proc. IEEE International Symposium on Quality Electronic Design, San Jose, California, USA, March 20–22, 2000, pp.425–432.

  4. Ghosh D, Bhunia S, Roy K. Multiple scan chain design technique for power reduction during test application in BIST. In Proc. IEEE Defect and Fault Tolerance in VLSI Systems, Boston, MA, USA, November 3–5, 2003, pp.191–198.

  5. Chandra A, Chakrabarty K. Low-power scan testing and test data compression for system-on-a-chip. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, 2002, 21(5): 597–604.

    Article  Google Scholar 

  6. Huang T C, Lee K J. A low-power LFSR architecture. In Proc. IEEE Asian Test Symposium, Kyoto, Japan, November 19–21, 2001, p.470.

  7. Han Y, Hu Y, Li H et al. Rapid and energy-efficient testing for embedded cores. In Proc. IEEE Asian Test Symposium, Kenting, November 15–17, 2004, pp.8–13.

  8. Sinanoglu O, Orailoglu A. Test power reductions through computationally efficient, decoupled scan chain modifications. IEEE Transactions on Reliability, 2005, 54(2): 215–223.

    Article  Google Scholar 

  9. Wen X, Yamashita Y, Kajihara S et al. On low-capture-power test generation for scan testing. In Proc. IEEE VLSI Test Symposium, Palm Springs, California, USA, May 1–5, 2005, pp.265–270.

  10. Han Y, Hu Y, Li X et al. Embedded test decompressor to reduce the required channels and vector memory of tester for complex processor circuit. IEEE Trans. Very Large Scale Integration Systems, 2007, 15(5): 531–540.

    Article  Google Scholar 

  11. Han Y, Li X, Li H et al. Embedded test resource for SoC to reduce required tester channels based on advanced convolutional codes. IEEE Trans. Instrumentation and Measurement, 2006, 55(2): 389–399.

    Article  Google Scholar 

  12. Kim N S, Austin T, Blaauw D et al. Leakage current: Moore’s law meets static power. IEEE Trans. Computer, 2003, 36(12): 68–75.

    Google Scholar 

  13. Roy K, Mukhopadhyay S, Mahmoodi H. Leakage current mechanisms and leakage reduction techniques in deep-submicron CMOS circuits. Proc. the IEEE, February 2003, 91(2): 305–327.

    Article  Google Scholar 

  14. Tsai Y F, Duarte D, Vijaykrishnan N et al. Implications of technology scaling on leakage reduction techniques. In Proc. IEEE/ACM Design Automation Conference, Anaheim, California, USA, June 2–6, 2003, pp.187–190.

  15. Duarte D, Tsui Y F, Vijaykrishnan N et al. Evaluating run-time techniques for leakage power reduction. In Proc. IEEE/ACM Asia South Pacific Design Automation Conference and VLSI Design, Bangalore, India, 2002, pp.31–38.

  16. Abdollahi A, Fallah F, Pedram M. Leakage current reduction in CMOS VLSI circuits by input vector control. IEEE Trans. Very Large Scale Integration Systems, January 2004, 12(2): 140–154.

    Article  Google Scholar 

  17. Gao F, Hayes P. Exact and heuristic approaches to input vector control for leakage reduction. In Proc. IEEE/ACM Int. Conf. Computer-Aided Design, San Jose, CA, USA, November 7–11, 2004, pp.527–532.

  18. Li F, He H. Estimation of maximum power-up current. In Proc. IEEE/ACM Asia South Pacific Design Automation Conference, Bangalore, India, January 7–11, 2002, pp.51–56.

  19. Kim S, Kosonocky S V, Knebel D R et al. Experimental measurement of a novel power gating structure with intermediate power saving mode. In Proc. IEEE/ACM International Symposium on Low Power Electronics and Design, Newport Beach, California, USA, August 9–11, 2004, pp.20–25.

  20. Liao W, Basile J, He L. Leakage power modeling and reduction with data retention. In Proc. IEEE/ACM International Conference on Computer-Aided Design, San Jose, California, USA, November 10–14, 2002, pp.714–719.

  21. Kim C H, Roy K. Dynamic VTH scaling scheme for active leakage power reduction. In Proc. IEEE/ACM Design, Automation and Test European Conference, Paris, France, March 4–8, 2002, pp.163–167.

  22. Kao J, Narendra S, Chandrakasan A. Subthreshold leakage modeling and reduction techniques. In Proc. IEEE/ACM International Conference on Computer Aided Design, San Jose, CA, USA, November 10–14, 2002, pp.141–148.

  23. Mukhopadhyay S, Raychowdhury A, Roy K. Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current modeling. In Proc. IEEE/ACM Design Automation Conference, Anaheim, California, USA, June 2–6, 2003, pp.169–174.

  24. Sheu B J et al. BSIM: Berkeley short-channel IGFET model for MOS transistors. IEEE Journal of Solid-State Circuits, 1987, 22(4): 558–566.

    Article  Google Scholar 

  25. Karnik T, Borkar S, De V. Sub-90nm technologies — Challenges and opportunities for CAD. In Proc. ACM/IEEE International Conference on Computer-Aided Design, San Jose, CA, USA, November 10–14, 2002, pp.203–206.

  26. Bobba S, Hajj I N. Maximum leakage power estimation for CMOS circuits. In Proc. IEEE Alessandro Volta Memorial Workshop on Low-Power Design, Como, Italy, March 4–5, 1999, pp.116–124.

  27. Xu Y, Luo Z, Chen Z et al. Minimum leakage pattern generation using stack effect. In Proc. International Conference on ASIC, Beijing, China, October 21–24, 2003, pp.1239–1242.

  28. Zhao J, Bian J, Wu W. PFGASAT — A genetic SAT solver combining partitioning and fuzzy strategies. In Proc. IEEE International Computer Software and Applications Conference, Hong Kong, China, Sept. 2004, pp.108–113.

  29. UC Berkeley Device Group. http://www-device.eecs. berkeley.edu/~ptm/.

  30. Hamzaoglu I, Patel J. Test set compaction algorithms for combinational circuits. In Proc. IEEE/ACM International Conference on Computer-Aided Design, San Jose, California, USA, November 8–12, 1998, pp.283–289.

  31. Kao J, Narendra S, Handrakasan A. Subthreshold leakage modeling and reduction techniques. In Proc. IEEE/ACM International Conference on Computer-Aided Design, San Jose, California, USA, November 10–14, 2002, pp.28–34.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Wei Wang.

Additional information

This work was supported in part by the National Natural Science Foundation of China (NSFC) under Grant Nos. 60576031, 60633060, 60606008, 90607010, the National Grand Fundamental Research 973 Program of China under Grant Nos. 2005CB321604 and 2005CB321605, and the Science Foundation of Hefei University of Technology under Grant Nos. 070501F and 060501F. Y. Han's work is also supported by the fund of Chinese Academy of Sciences due to the President Scholarship.

Electronic supplementary material

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wang, W., Hu, Y., Han, YH. et al. Leakage Current Optimization Techniques During Test Based on Don’t Care Bits Assignment. J Comput Sci Technol 22, 673–680 (2007). https://doi.org/10.1007/s11390-007-9091-x

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11390-007-9091-x

Keywords

Navigation